Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
  Tema: [APUNTE] Análisis Matemático II - COMPLETO
Mensaje: RE: [APUNTE] Análisis Matemático II - COMPLETO

muy bueno el trabajo, felicitaciones por el logro. ahora me pondré a leer haber si puedo entrar en ritmo, había dejado la carrera hace 6 años. gracias
sdsimois Básicas 119 128.820 31-03-2015, 11:41 por Gus4c
  Tema: Stub simple y doble (lo entendés sí o sí)
Mensaje: Stub simple y doble (lo entendés sí o sí)

Hola chicos, acá les adjunto un .ppt muy piola sobre adaptación de líneas con stub simple y doble. Está muy bien explicado!! Para aquellos que no llegaron a comprender del todo este tema, como quien l...
diegomsaiz Electrónica 6 4.535 16-10-2014, 09:59 por Gus4c
  Tema: [Aporte] Finales 2014 TD1
Mensaje: [Aporte] Finales 2014 TD1

Dejo unos cuantos finales de TD1, desde Dic/13. La mayoria son nuevos, asi que serviran para practicar.
target Electrónica 1 1.628 16-10-2014, 09:40 por Gus4c
  Tema: Ayuda Matrices de estado
Mensaje: RE: Ayuda Matrices de estado

Creo que se ve en control... Es armar las matrices sobre los circuitos para después cargarlas en MatLab y que tire los valores de las incógnitas andrestecno te dejo unos cuantos, fijate si encontrás...
Feer Electrónica 10 3.291 18-05-2014, 21:35 por Gus4c
  Tema: Parciales-Final TC1 Pessana
Mensaje: Parciales-Final TC1 Pessana

Chicos dejo 4 parciales (incluido un recuperatorio) y un final de tc1 de Pessana.. El final es el primero que tomo despues de que cambio el programa de tc! Espero que les sirva!! Saludos y éxitos! Agr...
peperina Electrónica 1 4.078 18-05-2014, 20:45 por Gus4c
  Tema: 1º y 2º Parcial del curso de Pessana
Mensaje: 1º y 2º Parcial del curso de Pessana

Hola, les dejo los 2 primeros parciales que tomó Pessana en el curso piloto de TC1, para que vean lo que se viene en el 2013 para la materia (en mi opinión los cambios están muy bien, pero puede ser m...
pablo.m Electrónica 3 2.846 18-05-2014, 20:42 por Gus4c
  Tema: Seguridad, Higiene y Medio Ambiente
Mensaje: Seguridad, Higiene y Medio Ambiente

Que tal gente, les paso los TP de Cerimedo Resueltos!! agradecer no cuesta nada.
mario88 Electrónica 2 4.120 19-11-2013, 15:35 por Gus4c
  Tema: [Aporte] Analisis de RRMC en Amplificadores Diferenciales
Mensaje: [Aporte] Analisis de RRMC en Amplificadores Difere...

Acá les adjunto el apunte del Prof. Comas [attachment=7366]
yaoming Electrónica 0 1.946 12-09-2013, 11:40 por Gus4c
  Tema: [Aporte] Libro de Tulic
Mensaje: [Aporte] Libro de Tulic

[attachment=7320] [attachment=7319]
yaoming Electrónica 4 6.510 27-08-2013, 16:02 por Gus4c
  Tema: [Sedra & Smith] Circuitos Microelectronicos
Mensaje: [Sedra & Smith] Circuitos Microelectronicos

Les dejo este libro que recomendó Virgili para Aplicada 1. Lo anduve hojeando y pinta bueno. Conseguí la cuarta edición en español y la quinta en ingles. [img]http://1.bp.blogspot.com/-GcOWecWmIIs/U...
JulianD Electrónica 12 13.269 27-08-2013, 15:56 por Gus4c
  Tema: [Electronica Aplicada I] Fuente BandGap y Fuentes de Corriente
Mensaje: [Electronica Aplicada I] Fuente BandGap y Fuentes ...

Fuente BandGap Fuentes de Corriente :thumbup3:
yaoming Electrónica 0 2.453 16-08-2013, 12:39 por Gus4c
Information Tema: BL6CT2 - Guía de Estudio - Segunda Parte - 2003
Mensaje: BL6CT2 - Guía de Estudio - Segunda Parte - 2003

[img]http://i41.tinypic.com/2irb2x1.jpg[/img] 152 páginas Les dejo mi aporte al Proyecto de Digitalización de Guías Primera Parte | Segunda Parte ¡Espero que les sirva, saludos!
DanAykroyd Básicas 0 2.701 15-08-2013, 23:46 por Gus4c
Information Tema: BL6CT1 - Guía de Estudio - Primera Parte - 2003
Mensaje: BL6CT1 - Guía de Estudio - Primera Parte - 2003

[img]http://i42.tinypic.com/2mh5u6t.jpg[/img] 134 páginas Les dejo mi aporte al Proyecto de Digitalización de Guías Primera Parte | Segunda Parte ¡Espero que les sirva, saludos!
DanAykroyd Básicas 2 3.725 15-08-2013, 23:44 por Gus4c
  Tema: [Aporte] Legislación (Resumen Unidades 8-13)
Mensaje: RE: [Aporte] Legislación (Resumen Unidades 8-13)

Acabo de subir una version actualizada del resumen, que creo yo es un poco mas clara y contiene algunas cosas mas que considero importantes y me fueron surgiendo durante el estudio. Lo malo de esta r...
groklee Básicas 18 16.154 15-08-2013, 23:06 por Gus4c
Question Tema: Economia Beltran / Beltram
Mensaje: Economia Beltran / Beltram

Bueno voy a poner el grano de arena para aportar a la causa y devolver un poco de lo que me dio este foro. Aca les traigo para el bolsillo de la dama y la cartera del caballero el primer parcial de Be...
noxal Básicas 9 4.591 12-08-2013, 17:00 por Gus4c
  Tema: [Aporte] Final Inglés II Electrónica 07/08/2013
Mensaje: [Aporte] Final Inglés II Electrónica 07/08/2013

Este fue el texto que tomaron en el final (fue fácil encontrarlo por el título). SciAm 50: Squirt and Spin Printers squirt out silicon chips, and the spin of electrons is used in computer logic We ...
pablo.m Electrónica 0 2.055 12-08-2013, 16:22 por Gus4c
  Tema: [Aporte] Parcial de economia
Mensaje: [Aporte] Parcial de economia

Hola les dejo el primer parcial de economia ( de sistemas) con la profesora valeria orlando ( UNA GENIA ) muy facil los parciales tomaba tal cual vimos en la clase, abrazoo
luchocuerv0 Sistemas 2 5.130 12-08-2013, 16:16 por Gus4c
  Tema: [Aporte] Parciales de legislación
Mensaje: [Aporte] Parciales de legislación

Les dejo los dos parciales que me tomaron en la cursada de legislacion con el profesor daniel castiarena el primer cuatrimestre del 2013. Cursaba los lunes a la mañana
luchocuerv0 Sistemas 3 6.332 12-08-2013, 16:11 por Gus4c
  Tema: [Medios de Enlace] Finales para tener en cuenta
Mensaje: [Medios de Enlace] Finales para tener en cuenta

Hola: Ahí van muchos finales de esta amplia materia. Personalmente la cursé con Martí y la tuve que rendir 2 veces. Esta 2da vez, me fijé en finales anteriores más o menos qué tomaban y tuvo algo de ...
Rami Electrónica 0 1.578 09-08-2013, 16:12 por Gus4c
  Tema: Fisica Electronica - Final, Tps y Parciales Resueltos
Mensaje: Fisica Electronica - Final, Tps y Parciales Resuel...

Hola que tal, acabo de dar el final de Fisica Electronica anoche (8/8/2013), no tengo ninguna copia de lo que nos tomaron pero les comento mas o menos: 1) a)Postulados de De Broglie. ...
luchonqn Electrónica 4 7.976 09-08-2013, 16:08 por Gus4c
Lightbulb Tema: [APORTE] Resumen Electronica Aplicada I y II
Mensaje: [APORTE] Resumen Electronica Aplicada I y II

Buenas, les dejo estos resumenes de estas dos materias que encontre junto con otros.. Espero les sirva. (Algun dia encontrare alguno como estos para mecanica y sere feliz :( ) Saludos
Brich Electrónica 17 17.690 07-08-2013, 16:23 por Gus4c
  Tema: Teoria de Circuitos I - Parciales, TPs
Mensaje: Teoria de Circuitos I - Parciales, TPs

Hola que tal, tengo varias cosas de la cursada de TCI con Franco Pessana en el 2012 y las quería compartir. Es el primer post que hago, espero que salga como corresponde. Agradezco a Carlos Cali que s...
luchonqn Electrónica 12 15.350 03-08-2013, 21:12 por Gus4c
  Tema: Teoria de Circuitos I - Carpeta Completa
Mensaje: Teoria de Circuitos I - Carpeta Completa

Aca subo mi carpeta de TCI, fue la cursada de F.Pessana del 2012. Esto también lo escaneo Carlos Cali asi que nuevamente los agradecimientos para el. Espero que sirva. [attachment=7054] [attachment=7...
luchonqn Electrónica 18 14.436 03-08-2013, 20:45 por Gus4c
  Tema: [APUNTE] Análisis Matemático II - COMPLETO
Mensaje: [APUNTE] Análisis Matemático II - COMPLETO

APUNTE COMPLETO Bueno para que sepan el resumen fue corregido pero puede sufrir modificaciones en futuras versiones, comenten si encuentran algún error o problemas de nomenclaturas. Mucha suerte y c...
Feer Básicas 119 128.820 01-08-2013, 11:20 por Gus4c
Lightbulb Tema: [APORTE] Tablas usuales para ingenieria (Calculo, Diseño, Materiales, Fluidos, Etc..)
Mensaje: [APORTE] Tablas usuales para ingenieria (Calculo, ...

Tablas...Tablas Everywhere. Buenas, dejo estas tablas que junte entre todas las que tenia y habia usado. Lo pongo en Mecánica pero hay varias que sirven para muchas Materias/Carreras. Cuando vaya us...
Brich Mecánica 80 145.713 31-07-2013, 11:21 por Gus4c
  Tema: [Digitales I]Varios finales para practicar
Mensaje: [Digitales I]Varios finales para practicar

Bueno este es mi humilde aporte para quienes esten preparando el final de esta materia. Tiene varios finales del 2010 para acá. El último es el que di la semana pasada. Como eran muchos y de varios a...
Rami Electrónica 2 1.762 16-07-2013, 10:42 por Gus4c
  Tema: [PEDIDO][ECONOMIA] Finales y Apuntes
Mensaje: RE: [PEDIDO][ECONOMIA] Finales y Apuntes

Ahi va: http://www.mediafire.com/download/o9hf8w...C3%ADa.rar
Fly Sistemas 6 4.206 15-07-2013, 14:00 por Gus4c
  Tema: 1 Parcial Legislacion
Mensaje: 1 Parcial Legislacion

Espero que les sirva, salio con un poco de parkinson la foto pero bue jajaj. Vale aclarar que el examen no lo hizo el profesor (daniel Castiarena) sino la catedra. Espero podes subir el resto y la res...
LeandroG Sistemas 8 4.848 15-07-2013, 13:57 por Gus4c
  Tema: Resumen de economía + Resueltos por el jefe de cátedra... Aprobás el final si o si!
Mensaje: Resumen de economía + Resueltos por el jefe de cát...

Resumen de economía Bueno, es un resumen de los 10 capítulos; El final es casi todo práctica pero tenés que saber la teoría porque algo siempre toca. La cosa es que me maté estudiando y desaprobé, ...
exequiel.banga Básicas 19 33.612 15-07-2013, 13:49 por Gus4c
  Tema: [Pueyo-Marco] Analisis de Modelos Circuitales (Tomos I y II)
Mensaje: [Pueyo-Marco] Analisis de Modelos Circuitales (Tom...

Les dejo este libro bastante recomendado para algunas partes de TC-I. No es dificil de conseguir, pero siempre viene bien tenerlo en el foro :P Saludos! [img=600x450]http://img534.imageshack.us/img5...
JulianD Electrónica 11 24.844 15-07-2013, 13:41 por Gus4c
  Tema: [Inglés II R (libre)] [Aporte] Final 28/02/13
Mensaje: [Inglés II R (libre)] [Aporte] Final 28/02/13

Buenas, este es el final que tomaron hoy de Ingles 2 de Electrónica lo sacaron de una página de internet y lo pude encontrar por suerte. Espero que les sirva.
ezee Electrónica 7 3.878 03-04-2013, 13:58 por Gus4c
  Tema: [Resumen] Física electrónica
Mensaje: [Resumen] Física electrónica

Hola, les dejo un apunte de física electrónica que arme mientras cursaba. En el resumen falta la parte de átomo de hidrógeno que nunca la estudie (falte a la clase) y falta la última parte que es la d...
Feer Electrónica 4 5.931 15-03-2013, 13:52 por Gus4c
  Tema: Schrodinger (Guía con respuestas) por mail!
Mensaje: Schrodinger (Guía con respuestas) por mail!

Hola, gente! Quien necesite la guía, por favor envíeme un mail a diegomsaiz@hotmail.com y se los adjunto; porque por ahora, no puedo postear archivos...:wall: Esto es hasta que se arregle el tema en ...
diegomsaiz Electrónica 1 1.129 15-03-2013, 13:32 por Gus4c
  Tema: [Fisica Electronica] Varios finales
Mensaje: [Fisica Electronica] Varios finales

Chicos, aca encontre unos finales de Fisica electronica. Me acuerdo que cuando los rendi, todos son bastante parecidos. Osea, no aparecía nada loco sino algun item repetido en los finales.
goncar87 Electrónica 4 2.849 26-02-2013, 15:52 por Gus4c
  Tema: [TECNICAS DIGITALES 1] Varios finales.
Mensaje: [TECNICAS DIGITALES 1] Varios finales.

Chicos, les dejo mas finales mas de Técnicas digitales 1. Otros finales que subí en otro momento: http://www.utnianos.com.ar/foro/tema-tec...l-15-02-12 http://www.utnianos.com.ar/foro/tema-tec...es-i...
goncar87 Electrónica 6 5.118 15-01-2013, 14:06 por Gus4c
  Tema: fisica electronica guia resuelta
Mensaje: RE: fisica electronica guia resuelta

final-diciembre 2012
mario88 Electrónica 11 9.235 15-01-2013, 13:49 por Gus4c
  Tema: Guías resueltas de schrodinger?
Mensaje: RE: Guías resueltas de schrodinger?

Aca te dejo unas fotos de algunos ejercicios resuetos (Catedra Dasso) Hay un librito chiquito de fisica de no se cuanto que resuelven los ejercicios que faltan de shoedinger.
El Pity Electrónica 6 3.029 15-01-2013, 13:29 por Gus4c
  Tema: Final de Medios de Enlace(11-12-2012)
Mensaje: Final de Medios de Enlace(11-12-2012)

Les dejo el final más lo que hice en mi casa. Era fácil yo no aprobe por boludeces XD Saludos. [img]http://img407.imageshack.us/img407/8314/hoja1w.jpg[/img] [img]http://img90.imageshack.us/img90/90...
christianfrodriguez Electrónica 4 2.207 15-01-2013, 13:23 por Gus4c
  Tema: Final AM2 24/07/2012 [resuelto]
Mensaje: RE: Final AM2 24/07/2012 [resuelto]

En el foro de AM2 hicieron una aclaración respecto al E3 que vale la pena considerar. Igual decía que ambas respuestas estaban bien.
proyectomaru Básicas 13 4.746 27-08-2012, 09:28 por Gus4c
  Tema: Tutorial para el uso del Keil Microvision.
Mensaje: RE: Tutorial para el uso del Keil Macrovision.

Dejo del tutorial del Keil que baje desde el campus virtual :D
Feer Electrónica 14 3.920 16-08-2012, 13:52 por Gus4c
  Tema: [DISPOSITIVOS ELECTRONICOS] Apuntes
Mensaje: [DISPOSITIVOS ELECTRONICOS] Apuntes

Chicos, les dejo algunos apuntes de la materia de Dispositivos (2 parcial y final). Los siguientes temas, SON PARA LEER Y ENTENDER COMO PARA PODER CHARLAR EN EL FINAL ORAL, PERO NO TEXTUAL. SOLO CONC...
goncar87 Electrónica 14 12.366 16-08-2012, 13:40 por Gus4c
  Tema: [Electronica Aplicada 1] Libro de Virgilli
Mensaje: RE: [Electronica Aplicada 1] Libro de Virgilli

Chicos, usen el de Tulic, ahi esta todo y como lo dan en la catedra... http://www.utn-eaplicada.com.ar/
marian99 Electrónica 10 14.627 15-08-2012, 16:45 por Gus4c
  Tema: [Electronica Aplicada 1] Libro de Virgilli
Mensaje: [Electronica Aplicada 1] Libro de Virgilli

Bueno gente no se si lo tendran o no, pero por las dudas lo deposito para la gente que no tiene recursos y para que este foro vaya llenandose de informacion util para los pibes. Aca esta uno de los d...
El Pity Electrónica 10 14.627 15-08-2012, 16:45 por Gus4c
  Tema: [Dispositivos Electrónicos] Dispositivos de efecto de campo [Pierret]
Mensaje: [Dispositivos Electrónicos] Dispositivos de efecto...

Les dejo este libro. Está en castellano y explica todo tal cual como lo dan en la cursada, sólo que es más extenso y profundo. Especialmente recomendadas las partes de MOS no ideal y efectos de canal ...
ASM Electrónica 7 8.559 08-08-2012, 13:53 por Gus4c
  Tema: [Medios de enlace] 1 parcial de Dwornizak 2009
Mensaje: [Medios de enlace] 1 parcial de Dwornizak 2009

Chicos, hoy me paso un amigo unos parciales de Dwornizak del 2009. No están muy actualizados pero deben servir para practicar!. [img]http://img94.imageshack.us/img94/1731/20120807144235288.jpg[/img] ...
goncar87 Electrónica 4 2.080 07-08-2012, 15:08 por Gus4c
  Tema: [Medios de Enlace] 1° parcial resuelto 2011 Dworniczak
Mensaje: [Medios de Enlace] 1° parcial resuelto 2011 Dworni...

Les dejo este parcial. Está resuelto, si no mal recuerdo, por Sinardi (ayudante). Si no se entiende algo por las fotos, avisen y veo de sacarle otra a lo que no se vea bien. [attachment=3875]
ASM Electrónica 2 2.385 07-08-2012, 15:06 por Gus4c
  Tema: medios de enlace
Mensaje: medios de enlace

que tal muchachos!!! aca tengo parcial de medios de enlace, esta resuelto asi que les viene bien!! despues subo un par de libros mas...
mario88 Electrónica 16 7.143 06-08-2012, 13:56 por Gus4c
  Tema: Análisis de Señales y Sistemas parciales
Mensaje: Análisis de Señales y Sistemas parciales

resueltos del del primer parcial. no hay casi nada de esta materia en fotocopiadora, las subo para tengan una idea.
mario88 Electrónica 19 13.490 01-08-2012, 12:02 por Gus4c
  Tema: Análisis de Señales y Sistemas parciales
Mensaje: RE: Análisis de Señales y Sistemas parciales

tengo mas parciales de cada materia...lentamente los voy subiendo..jejeje
mario88 Electrónica 19 13.490 01-08-2012, 11:25 por Gus4c
  Tema: Análisis de Señales y Sistemas parciales
Mensaje: RE: Análisis de Señales y Sistemas parciales

que tal muchachos!! si tienen problemas con modelizacion aca encontre un libro que esta muy completo y con ejercicios resueltos. la macana es que esta en ingles, pero se entiende igual...jejeje enlac...
mario88 Electrónica 19 13.490 01-08-2012, 11:13 por Gus4c