Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
Big Grin Tema: apunte para final con Franchi
Mensaje: apunte para final con Franchi

Hola, hoy voy a hacer mi primer aporte. Les dejo un apunte que hice con las preguntas que toma Luis Franchi en el final de Sistemas de Representación (las preguntas las va respondiendo durante la curs...
nefsag Electrónica 0 1.621 06-10-2018, 20:52 por nicotombino
  Tema: CURSADA 1er Año Ingeniería Electrónica Regional Haedo
Mensaje: CURSADA 1er Año Ingeniería Electrónica Regional Ha...

Hola :wave::wave::wave: soy nuevo en esta comunidad, pero no como alumno :D:D:D así que les dejo unos apuntes, libros, resúmenes,trabajos prácticos, modelos de parcial (resueltos), modelos de final (r...
cris_tucu Electrónica 0 3.132 06-10-2018, 20:48 por nicotombino
  Tema: [Sistemas de Representación][Aporte] Apuntes Arq. Jose Luis Verga
Mensaje: [Sistemas de Representación][Aporte] Apuntes Arq. ...

Acá dejo todos los apuntes que da el profesor, incluido el rotulo. (no se si esta bien ubicado, lo puse acá porque creo que Sistemas de Representación de Civil es diferente al de las demás carreras).
Briisaa Civil 7 19.004 06-10-2018, 20:47 por nicotombino
  Tema: [Aporte] Resumen Sistemas de Representación
Mensaje: [Aporte] Resumen Sistemas de Representación

Buenas! Les dejo un resumen de la materia de 2do año. La materia la cursé en 2013 por tutorias con Corti. Saludos!
joelkalt Sistemas 4 7.367 06-10-2018, 20:41 por nicotombino
  Tema: [Aporte] Probabilidad y Estadistica
Mensaje: [Aporte] Probabilidad y Estadistica

buenas gente comparto un par de apuntes de probabilidad y estadística de mi cursada, espero les sirva :wave: -Unidad 1 (ESTADÍSTICA DESCRIPTIVA) -Unidad 2 (Definición Clásica - Axiomas) -Unidad 3 (VAR...
Garx Electrónica 0 3.847 23-09-2018, 23:24 por nicotombino
  Tema: Libro Probabilidad y Estadística para Ingeniería y Ciencia - DEVORE - 7ma Edición
Mensaje: RE: Libro Probabilidad y Estadística para Ingenier...

entre este y el Meyer, elijo el que usan el fiuba del autor Zylberberg esta genial como. explica mas cosas.
inrockuptible Básicas 5 18.067 12-09-2018, 19:25 por nicotombino
  Tema: primer parcial de dispositivos electronicos profesor sanguinetti
Mensaje: primer parcial de dispositivos electronicos profes...

1- (Teórico 1) . Deducir movilidad. Graficar variación de la movilidad con la temperatura. (pg 32 a 35 del libro de Tremosa). 2- (Teórico 2) . Deducir la capacidad de juntura y compararla con la capa...
gewa2006 Electrónica 4 4.928 04-07-2018, 17:30 por nicotombino
  Tema: [Dispositivos electrónicos] Clase Ebers-Moll
Mensaje: [Dispositivos electrónicos] Clase Ebers-Moll

Hola, les paso la clase de sanguineti del tema. Le agregue un par de detalles que me parecieron interesantes cuando estaba leyendo el tema del selva. Yo estudie de esto para el final. Si alguno necesi...
Feer Electrónica 0 1.643 04-07-2018, 17:28 por nicotombino
  Tema: Apunte C++ para informática II
Mensaje: Apunte C++ para informática II

Buenas noches.. Dejo un apunte que anda dando vueltas por ahí y me sirvió en su momento saludos!
Feer Electrónica 0 1.856 01-06-2018, 14:38 por nicotombino
  Tema: Carpeta para final: Electrónica de potencia
Mensaje: Carpeta para final: Electrónica de potencia

Buenas noches.. Dejo la carpeta para final de Electrónica de Potencia que anda dando vueltas, tiene parciales, finales y toda la teoría. saludos!
Feer Electrónica 0 1.790 01-06-2018, 14:38 por nicotombino
  Tema: Carpeta para final: Medidas Electrónicas 1
Mensaje: Carpeta para final: Medidas Electrónicas 1

Buenas noches.. Dejo la carpeta para final de Medidas 1 que anda dando vueltas, tiene parciales, finales y toda la teoría. saludos!
Feer Electrónica 0 2.305 01-06-2018, 14:38 por nicotombino
  Tema: Carpeta para final: Electrónica aplicada 3
Mensaje: Carpeta para final: Electrónica aplicada 3

Buenas noches.. Dejo la carpeta para final de Electrónica Aplicada III que anda dando vueltas, tiene parciales, finales y toda la teoría. saludos!
Feer Electrónica 0 2.332 01-06-2018, 14:38 por nicotombino
  Tema: Carpeta: Teoría de Circuitos 2
Mensaje: Carpeta: Teoría de Circuitos 2

Buenas noches.. Dejo la carpeta de Teoría de Circuitos II que anda dando vueltas, tiene parciales, finales y toda la teoría. saludos!
Feer Electrónica 3 2.931 01-06-2018, 14:38 por nicotombino
  Tema: Carpeta: Medidas Electrónicas II (Cursada Henze)
Mensaje: Carpeta: Medidas Electrónicas II (Cursada Henze)

Buenas noches.. Dejo la carpeta de Medidas Electrónicas 2 que anda dando vueltas cursada Henze saludos!
Feer Electrónica 0 1.879 01-06-2018, 14:36 por nicotombino
  Tema: [Libro] Sauchelli - Teoría de Señales y Sistemas Lineales
Mensaje: [Libro] Sauchelli - Teoría de Señales y Sistemas L...

Libro: Teoría de Señales y Sistemas Lineales Autor: Victor H. Sauchelli [img]http://www.libun.edu.pe/carrito/portadas/00068887.jpg[/img] Link de Descarga (Mediafire) Saludo...
sacrifice Electrónica 3 6.052 01-06-2018, 11:28 por nicotombino
  Tema: aplicada1 parciale y finales
Mensaje: aplicada1 parciale y finales

subo parciales y finales de regional Haedo, espero les sirva
cherkio Electrónica 5 3.298 28-04-2018, 14:55 por nicotombino
  Tema: [ELECTRONICA APLICADA] 1 Parcial Resuelto (Nota: 10)
Mensaje: [ELECTRONICA APLICADA] 1 Parcial Resuelto (Nota: 1...

Chicos, dejo el primer parcial de ELECTRÓNICA APLICADA I resuelto por mi y corregido por Jorge Virgili. [img]http://img818.imageshack.us/img818/8470/hoja1nk.jpg[/img] [img]http://img829.imageshack.us...
goncar87 Electrónica 9 9.300 28-04-2018, 14:55 por nicotombino
Lightbulb Tema: [APORTE] Resumen Electronica Aplicada I y II
Mensaje: [APORTE] Resumen Electronica Aplicada I y II

Buenas, les dejo estos resumenes de estas dos materias que encontre junto con otros.. Espero les sirva. (Algun dia encontrare alguno como estos para mecanica y sere feliz :( ) Saludos
Brich Electrónica 17 17.507 28-04-2018, 14:49 por nicotombino
  Tema: EA1 - Referencias de Comas/Randazzo
Mensaje: RE: EA1 - Referencias de Comas/Randazzo

Buenas! Ya que nadie respondió, al menos quiero hacer mi aporte y dar mi opinión. Yo la cursada la hice con Meneghini, el ex ayudante de Comas (fue ayudante de él muchísimo tiempo), pero toma lo mism...
Santi Aguito Electrónica 1 2.022 28-04-2018, 14:48 por nicotombino
  Tema: 1er Parcial de Dispositivos Electronicos 2017 (Tema 1 y 2)
Mensaje: 1er Parcial de Dispositivos Electronicos 2017 (Tem...

Les adjunto los dos temas del primer parcial de Zuazquita, parte practica y teórica. Saludos.
Arthurr Electrónica 2 3.642 27-03-2018, 11:58 por nicotombino
  Tema: Apuntes Teoria de Circuitos II
Mensaje: Apuntes Teoria de Circuitos II

Aquí les comparto los apuntes de la UTN Regional Tucumán. Funciones de Variable Compleja Diagramas de Bode. Teoría de los cuadripolos. Filtros Electricos. Teoría de Aproximación. Atenuadores y ...
FabioRoque Electrónica 7 6.106 22-02-2018, 12:48 por nicotombino
  Tema: [Aporte][ME1] Apunte de Transformadores (en orden y completo)
Mensaje: [Aporte][ME1] Apunte de Transformadores (en orden ...

Gente dejo un link a un PDF con todos los apuntes de reactores / transformadores (Lemozy, Wulf, etc) para ME1. Transformadores - Completo Saludos.
lecerd Eléctrica 3 3.752 22-02-2018, 12:44 por nicotombino
  Tema: [Informática I] Apuntes varios.
Mensaje: [Informática I] Apuntes varios.

Les dejo una mezcla de apuntes para los que esten cursando o preparando el final :)
Feer Electrónica 0 2.319 24-01-2018, 12:02 por nicotombino
  Tema: [Aporte] Listas + pila + cola + archivos (simple enlazada - strings)
Mensaje: [Aporte] Listas + pila + cola + archivos (simple e...

Bueno termine las funciones de listas simple enlazada para strings contiene: Agregar al principio (pila cola) Agregar al final (cola) Borrar primer elemento (pila o cola) Borrar ultimo elemento (pila...
Feer Electrónica 0 4.086 24-01-2018, 12:02 por nicotombino
  Tema: [R4AT1] Apunte teórico - Teoría de circuitos II - 2012
Mensaje: [R4AT1] Apunte teórico - Teoría de circuitos II - ...

Bueno navegando en los apuntes de Foro FIUBA encontré los apuntes de nuestra regional jajaja. Los dejo(?)
Feer Electrónica 5 8.689 24-01-2018, 11:57 por nicotombino
  Tema: Dispositivos Electrónicos [Resumen]
Mensaje: Dispositivos Electrónicos [Resumen]

Buenas gente, paso mi resumen de el primer parcial de Dispos, curso con Sanguinetti, la idea es después poder subir la otra parte mas los apuntes y carpeta. La curse con Palumbo el primer cuatrimestre...
jcerioliperez Electrónica 2 7.096 24-01-2018, 11:55 por nicotombino
  Tema: Symbolab: Buscador Especializado en Contenido Matemática (Alternativa a Wolfram)
Mensaje: Symbolab: Buscador Especializado en Contenido Mate...

Symbolab Symbolab es un buscador temático destinado a científicos, matemáticos, docentes y estudiantes. En lugar de introducir el término que buscamos, las palabras clave se sustituyen por ecuaciones...
pcajedrez Básicas 3 2.944 14-10-2017, 00:17 por nicotombino
  Tema: Ayuda resolucion parcial teoria de circuitos
Mensaje: RE: Ayuda resolucion parcial teoria de circuitos

Hola tomy. Lo del lugar geométrico es ir combinando las impedancias e ir dibujando. Por ejemplo: ztotal = z1 + z2; z1 = R1 // z3; z3 = R1+Xc; La z3 es una semirecta. Para hacer el paralelo la pasás a ...
luchovl2 Electrónica 1 1.412 23-09-2017, 11:52 por nicotombino
Information Tema: [APORTE] Economía - Evaluación de proyectos, 7ma Ed. - Gabriel Baca Urbina
Mensaje: [APORTE] Economía - Evaluación de proyectos, 7ma E...

Acá les dejo el libro que utilicé para preparar la parte de Evaluación de proyectos de esta materia. Es medio largo, pero no es un plomo, es realmente interesante. Los de la UTN - FRLP deben saber que...
Kx53 Mecánica 2 3.897 02-09-2017, 13:19 por nicotombino
  Tema: [TC2] Material de la cursada
Mensaje: [TC2] Material de la cursada

Buenas! Dejo un link donde tengo todo el material que junte para la cursada(2016) de TC2, hay libros, tps, apuntes, finales... (lo mismo que el drive de Electronica pero ordenado): https://www.dropbo...
cesarm Electrónica 5 3.865 13-08-2017, 12:37 por nicotombino
  Tema: 1º y 2º Parcial del curso de Pessana
Mensaje: 1º y 2º Parcial del curso de Pessana

Hola, les dejo los 2 primeros parciales que tomó Pessana en el curso piloto de TC1, para que vean lo que se viene en el 2013 para la materia (en mi opinión los cambios están muy bien, pero puede ser m...
pablo.m Electrónica 3 2.824 02-08-2017, 12:23 por nicotombino
  Tema: Parciales-Final TC1 Pessana
Mensaje: Parciales-Final TC1 Pessana

Chicos dejo 4 parciales (incluido un recuperatorio) y un final de tc1 de Pessana.. El final es el primero que tomo despues de que cambio el programa de tc! Espero que les sirva!! Saludos y éxitos! Agr...
peperina Electrónica 1 4.039 02-08-2017, 12:22 por nicotombino
  Tema: [TD1] Final 24/02/16 RESUELTO
Mensaje: [TD1] Final 24/02/16 RESUELTO

Les dejo el último final que se tomo el 24/02/16 junto a la resolución propuesta por la catedra! Saludos.
Jarry Electrónica 0 2.034 09-06-2017, 11:24 por nicotombino
  Tema: trabajos practicos resueltos de LOPEZ
Mensaje: trabajos practicos resueltos de LOPEZ

Que tal gente!! aca les subo los TP de digitales I del profesor Lopez, para q sepan como viene la mano. Para los parciales de Lopez hay que fumarse (memorizar) todos los circuitos de las fotocopias y ...
mario88 Electrónica 1 3.932 29-04-2017, 10:03 por nicotombino
  Tema: Final TD1 (RESUELTO) - febrero 2015
Mensaje: Final TD1 (RESUELTO) - febrero 2015

Dejo el final resuelto del primer llamado de la materia, lo hizo el profesor: Lucio
Jarry Electrónica 3 2.427 29-04-2017, 10:02 por nicotombino
  Tema: [Aporte] Apunte / Resúmen TCI
Mensaje: [Aporte] Apunte / Resúmen TCI

Hola a todos, vengo a dejar por aca este resúmen que hice de TCI, el mismo no está 100% completo y tampoco es perfecto, pero es bastante útil, si le notan algún defecto avisen.
Marianotronico Electrónica 0 2.345 05-04-2017, 15:40 por nicotombino
  Tema: [AYUDA] Resumen de formulas y ejercicios resueltos
Mensaje: [AYUDA] Resumen de formulas y ejercicios resueltos

HOLA!!! Queria saber si alguien tiene un resumen de las formulas de Dispositivos electronicos, o ejercicios resueltos. Estoy tratando de estudiar pero me esta costando un poco arrancar. Muchas graci...
guadafr Electrónica 10 8.737 17-03-2017, 12:16 por nicotombino
  Tema: [DISPOSITIVOS ELECTRONICOS] Apuntes
Mensaje: [DISPOSITIVOS ELECTRONICOS] Apuntes

Chicos, les dejo algunos apuntes de la materia de Dispositivos (2 parcial y final). Los siguientes temas, SON PARA LEER Y ENTENDER COMO PARA PODER CHARLAR EN EL FINAL ORAL, PERO NO TEXTUAL. SOLO CONC...
goncar87 Electrónica 14 12.207 17-03-2017, 12:15 por nicotombino
  Tema: [Pueyo-Marco] Analisis de Modelos Circuitales (Tomos I y II)
Mensaje: [Pueyo-Marco] Analisis de Modelos Circuitales (Tom...

Les dejo este libro bastante recomendado para algunas partes de TC-I. No es dificil de conseguir, pero siempre viene bien tenerlo en el foro :P Saludos! [img=600x450]http://img534.imageshack.us/img5...
JulianD Electrónica 11 24.477 09-03-2017, 00:56 por nicotombino
  Tema: Teoria de Circuitos I - Parciales, TPs
Mensaje: Teoria de Circuitos I - Parciales, TPs

Hola que tal, tengo varias cosas de la cursada de TCI con Franco Pessana en el 2012 y las quería compartir. Es el primer post que hago, espero que salga como corresponde. Agradezco a Carlos Cali que s...
luchonqn Electrónica 12 15.209 09-03-2017, 00:53 por nicotombino
  Tema: Conceptos y Definiciones
Mensaje: RE: Conceptos y Definiciones

che su están muy perdido en aplicada te recomiendo un canal de youtube de un mejicano, Semiconductores: https://www.youtube.com/playlist?list=PL...PnINdHUHHM pegale un vistazo esta bastante bueno.
inrockuptible Electrónica 1 1.722 09-03-2017, 00:48 por nicotombino
  Tema: [AYUDA] Resumen de formulas y ejercicios resueltos
Mensaje: RE: [AYUDA] Resumen de formulas y ejercicios resue...

Te adjunto las guías resueltas de semiconductores y juntura p-n / diodos. Espero te sirva! :thumbup3: [attachment=8896] [attachment=8897]
matyary Electrónica 10 8.737 09-03-2017, 00:48 por nicotombino
  Tema: teoria de circuitos I guia resuelta y parciales
Mensaje: teoria de circuitos I guia resuelta y parciales

hola gente! aca tengo un par de archivos para compartir!!! 1) modelos de parciales 2) Guia resuelta 3) TP de laboratorio resuelto sepan agradecer...jejejeje che si alguien tiene finales de a...
mario88 Electrónica 10 11.606 01-03-2017, 00:14 por nicotombino
  Tema: Sistemas de representación / Dibujo técnico (libros)
Mensaje: Sistemas de representación / Dibujo técnico (libro...

Bueno, me digno a postear por estos lados. Me estoy fumando todo lo que encuentro para medios de representación gráfica, pero ando buscando algo un poco más completo, explicativo y -por supuesto- actu...
Parabola Básicas 0 4.282 25-02-2017, 00:13 por nicotombino
Bug Tema: La Biblia de AMII
Mensaje: La Biblia de AMII

Hola gente, me hice esta cuenta con el objetivo de que la gente no la pase tan mal como yo en AMII. Sin mas preambulos les presento la nueva religion hecha PDF. Este PDF tiene todo lo que necesitas ...
Shadowlight Básicas 8 11.416 09-02-2017, 10:19 por nicotombino
Lightbulb Tema: [APORTE]Probabilidad y estadistica - Schaum
Mensaje: [APORTE]Probabilidad y estadistica - Schaum

Buenas, este libro para el que no lo conoce trae banda de ejercicios. Muchas veces te salva.;) [img]http://www.facilibro.com/tapaslibros/tapa_007924.jpg[/img] Saludos
Brich Básicas 9 29.114 02-01-2017, 15:17 por nicotombino
  Tema: resuelto am2 20-12-2016
Mensaje: resuelto am2 20-12-2016

[attachment=14685] lo deje resuelto en el grupo de fb de la materia
Saga Básicas 2 2.016 27-12-2016, 11:12 por nicotombino
  Tema: [PEDIDO] Parciales Liliana Gallego AM2
Mensaje: RE: [PEDIDO] Parciales Liliana Gallego AM2

Parciales Liliana Gallego 1er cuatrimestre 2016.
Garcp Básicas 12 10.198 27-12-2016, 11:11 por nicotombino
  Tema: [PEDIDO] Parciales Liliana Gallego AM2
Mensaje: RE: [PEDIDO] Parciales Liliana Gallego AM2

[img]http://oi42.tinypic.com/2yza4j4.jpg[/img] [img]http://oi44.tinypic.com/11bizyh.jpg[/img] [img]http://oi39.tinypic.com/2v93l89.jpg[/img]
Eutectico Básicas 12 10.198 27-12-2016, 11:10 por nicotombino
Lightbulb Tema: [APORTE]Circuitos y Control de sistemas - Schaum
Mensaje: [APORTE]Circuitos y Control de sistemas - Schaum

Buenas, les dejo estos dos libros de Schaum que para el que no los conoce tienen banda de ejercicio. No estoy seguro que el de control de sistemas tenga que ir aca pero bueh..;) Saludos
Brich Electrónica 2 9.189 23-12-2016, 15:03 por nicotombino