Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
  Tema: Final medios de enlace 09-12-15 con resolucion
Mensaje: Final medios de enlace 09-12-15 con resolucion

Buenas, subo el final de ayer. Nos presentamos 3 a rendir, dieron la posibilidad de ver el examen e irse con ausente. Como vi que la mayoría de los temas los sabia me quede, dieron 2 horas para resolv...
rodrigo_103 Electrónica 1 2.367 09-02-2017, 22:51 por anonimail
  Tema: [Aporte] Finales Medios de Enlace Compilado
Mensaje: [Aporte] Finales Medios de Enlace Compilado

Buenas! Dejo algunos finales de medios de enlace de los últimos años. Saludos! :wave:
metaldeath51 Electrónica 3 2.496 09-02-2017, 22:44 por anonimail
  Tema: [Analisis de Señales y Sistemas] Apuntes Estocasticos
Mensaje: [Analisis de Señales y Sistemas] Apuntes Estocasti...

Buenas gente, la verdad que este es uno de los ultimos temas de AS&S y la verdad es que en algunas catedras o dan mal, o dan poca informacion, y en el final a veces toman y suelen hacer mierda en...
El Pity Electrónica 3 3.800 24-01-2017, 00:21 por anonimail
  Tema: [Clases Particulares]
Mensaje: [Clases Particulares]

Estimados colegas UTNianos: Estoy a disposición para quien necesite apoyo en alguna de estas materias. Clases a domicilio en C.A.B.A. Y GBA Norte (Vicente López, La Lucila, Martínez, San Isidro, etcé...
diegomsaiz Electrónica 7 2.704 28-11-2016, 22:29 por anonimail
  Tema: [Aporte] 1er Parcial 2012 - TC1
Mensaje: [Aporte] 1er Parcial 2012 - TC1

[attachment=6785] [attachment=6784]
yaoming Electrónica 1 2.427 19-07-2015, 17:51 por anonimail
  Tema: teoria de circuitos I guia resuelta y parciales
Mensaje: teoria de circuitos I guia resuelta y parciales

hola gente! aca tengo un par de archivos para compartir!!! 1) modelos de parciales 2) Guia resuelta 3) TP de laboratorio resuelto sepan agradecer...jejejeje che si alguien tiene finales de a...
mario88 Electrónica 10 11.750 19-07-2015, 17:44 por anonimail
  Tema: Teoria de Circuitos I - Parciales, TPs
Mensaje: Teoria de Circuitos I - Parciales, TPs

Hola que tal, tengo varias cosas de la cursada de TCI con Franco Pessana en el 2012 y las quería compartir. Es el primer post que hago, espero que salga como corresponde. Agradezco a Carlos Cali que s...
luchonqn Electrónica 12 15.281 01-05-2015, 21:00 por anonimail
  Tema: Libros para medios de enlace
Mensaje: Libros para medios de enlace

Conseguí por un par de paginas estos libros recomendados por los profesores de medios de enlace, para llevar las clases y apoyo de los temas. El libro de Skilling lo recomienda mucho Dwornizack para l...
Gus4c Electrónica 6 9.723 28-03-2015, 22:36 por anonimail
  Tema: [Aporte][Física II] Final 1/10/2014
Mensaje: [Aporte][Física II] Final 1/10/2014

[attachment=9557]
gan Básicas 46 15.487 03-02-2015, 19:44 por anonimail
  Tema: [Pedido] Física II- Final 16/12/2014
Mensaje: RE: [Pedido] Física II- Final 16/12/2014

Por ahí anda dando vuelta una foto de mala resolución, pero si querés acá te paso lo que me acuerdo: El 1A era de calorimetría, tenías 250 g de agua a 20 grados centígrados y te agregaban 100 g de h...
Southern Básicas 26 12.361 29-01-2015, 16:54 por anonimail
  Tema: [Dispositivos Electronicos] LIbros Varios
Mensaje: [Dispositivos Electronicos] LIbros Varios

Libro De Selva Fundamentals of Modern VLSI Devices - Taur Ning Physics and technology of semiconductor devices Fundamentos de Electronica Fisica y Microelectronica - Albella Semiconductor Fundamenta...
yaoming Electrónica 7 12.272 06-10-2014, 21:24 por anonimail
  Tema: dispositivos electronicos-parciales-suazquita
Mensaje: dispositivos electronicos-parciales-suazquita

muchachos aca tengo unos parciales y tps para compartir! de dispositivos electronicos, del profesor suazquita.
mario88 Electrónica 11 10.280 06-10-2014, 19:49 por anonimail
  Tema: [PEDIDO] Parciales ASyS - Pessana
Mensaje: [PEDIDO] Parciales ASyS - Pessana

Hice el pedido en otro thread pero no paso nada, asi que lo hago aca.. Si alguno tiene parciales de Pessana, especificamente del primer parcial por el momento, y los puede subir le voy a estar infinit...
JulianD Electrónica 10 5.856 23-09-2014, 16:30 por anonimail
  Tema: fisica electronica guia resuelta
Mensaje: fisica electronica guia resuelta

muchachos aca tienen la guia resuelta!!! espero que les ayude!!
mario88 Electrónica 11 9.188 18-08-2014, 20:18 por anonimail
  Tema: [Física Electrónica] Guías de Ejs.
Mensaje: [Física Electrónica] Guías de Ejs.

Buenas: Aquí les paso las guías de ejercicios de física electrónica. Saludos.
Kodiak Electrónica 2 5.965 18-08-2014, 00:05 por anonimail
  Tema: [Física II][Guía resuelta] Corriente alterna
Mensaje: [Física II][Guía resuelta] Corriente alterna

Dejo la guía resuelta de alterna que la pedían en otro tema.. Saludos.
Feer Básicas 25 30.665 06-07-2014, 01:21 por anonimail
  Tema: TODO KASERO Y EJERCICIOS RESUELTOS FISICA II
Mensaje: TODO KASERO Y EJERCICIOS RESUELTOS FISICA II

Les dejo todas las guías de Kasero (algunas las encontré acá y otras en la web), y ejercicios resueltos por un profe extra utn que me enviaron durante la cursada. Les paso un índice de cada pdf así s...
VincentVega Básicas 21 84.081 07-06-2014, 20:38 por anonimail
  Tema: [Probabilidad] Parciales Parte AyB 2011
Mensaje: [Probabilidad] Parciales Parte AyB 2011

Una selección de parciales que saque de la fotocopiadora, no hay ninguno resuelto pero mas que nada era para ver que podían tomar en la teoría. Parte A - 2011 - No Resuelto [attachment=7020] Parte ...
CarooLina Básicas 2 2.917 15-04-2014, 00:34 por anonimail
  Tema: [aporte] [FRBA] Segundo parcial de PROBA (nota 7) - Prof: Patricia Aurucis - 27/11/13
Mensaje: [aporte] [FRBA] Segundo parcial de PROBA (nota 7) ...

Les dejo el segundo parcial de Probabilidad y Estadística de la profesora Patricia Aurucis con el profesor Pablo Linares como ayudante (es el antiguo curso del profesor Carlos Pano el cual se jubiló d...
bicho Básicas 3 4.822 15-04-2014, 00:24 por anonimail
  Tema: [APORTE] primer parcial resuelto 2013- Profesor Sinardi
Mensaje: [APORTE] primer parcial resuelto 2013- Profesor Si...

El parcial esta resuelto por el profesor! Espero que les sirva!:thumbup3:
vicky26 Básicas 1 5.273 15-04-2014, 00:08 por anonimail
  Tema: [APORTE] Fisica II - TP's de Laboratorio
Mensaje: [APORTE] Fisica II - TP's de Laboratorio

Estos son los informes de laboratorio que hice con mi grupo el 2do cuatrimestre del 2012, cursamos con el profesor Pautasso en el aula y el jefe de TP si no me equivoco era Casala (o algo así) pero no...
matiasGorosito Básicas 7 21.397 10-04-2014, 15:34 por anonimail
  Tema: [APORTE] Física II - TP's Laboratorio Aprobados
Mensaje: [APORTE] Física II - TP's Laboratorio Aprobados

Gente, les paso los TPs aprobados y scaneados. Como lo hicimos en grupo y distintas personas, solo me quedé con los archivos editables de los TP 1, 2, 5, 6 y 8. Todos son del 1er cuatrimestre 2012. Es...
fedevega Básicas 13 44.221 10-04-2014, 15:08 por anonimail
  Tema: [Probabilidad y Estadistica]Resueltos de TPs: 1,2y3
Mensaje: [Probabilidad y Estadistica]Resueltos de TPs: 1,2y...

aca estan los resueltos de la guia de TPs hecho por mi, espero que les sirvan ;) [attachment=3690] [attachment=3691] [attachment=3692] me faltan los TPs 4, 5 y 6, pronto se subira~
yaoming Básicas 25 62.685 31-03-2014, 14:33 por anonimail
  Tema: [RESUMEN] Física II - COMPLETO
Mensaje: [RESUMEN] Física II - COMPLETO

Bueno, de apoco se van terminando los resumenes de las homogéneas. En esta oportunidad el apunte completo de física II :P Un saludo. [attachment=4782]
Feer Básicas 18 44.125 30-03-2014, 18:10 por anonimail
  Tema: [Consulta] No me aparece ninguna materia para anotarme
Mensaje: RE: [Consulta] No me aparece ninguna materia para ...

(25-02-2014 21:01)anonimail escribió:  y de paso les pregunto ¿como sabes si es en campus o medrano? Dale click en el lapiz y cuando te anotas te figura si es campus o medrano (podes borrarlo y ...
ajax Consultas Administrativas 7 2.472 26-02-2014, 19:34 por anonimail
  Tema: Final física I - UTN FRA 15-2-2013
Mensaje: Final física I - UTN FRA 15-2-2013

Subo el final tomado el 15-2-2013 de Fìsica I en la regional Avellaneda, espero que les sirva. Sds Facundo
facu21 Básicas 2 5.202 18-01-2014, 10:57 por anonimail
  Tema: [APUNTE] Análisis Matemático II - COMPLETO
Mensaje: [APUNTE] Análisis Matemático II - COMPLETO

APUNTE COMPLETO Bueno para que sepan el resumen fue corregido pero puede sufrir modificaciones en futuras versiones, comenten si encuentran algún error o problemas de nomenclaturas. Mucha suerte y c...
Feer Básicas 119 128.479 02-08-2013, 00:53 por anonimail
  Tema: [Fisica I] Guias de ejercicios Resueltas
Mensaje: [Fisica I] Guias de ejercicios Resueltas

Hola gente como andan? Bueno aca les traigo las guias de Fisica I (Optica, Cinematica, Dinamica de C. Material, Dinamica de C. Rigido y MOA) resueltas (no estan al 1000% resueltas pero estan el 90% d...
Gonsha Básicas 30 102.959 18-04-2013, 15:25 por anonimail
  Tema: [TP1][RESUELTO] Ecuaciones diferenciales ordinarias - Primera parte.
Mensaje: [TP1][RESUELTO] Ecuaciones diferenciales ordinaria...

Les adjunto el TP1: Ecuaciones diferenciales ordinarias - Primera parte. Voy a intentar hacer los 11 tps con el tiempo, espero que les sirva. El tp esta completo salvo los optativos, que opte por no h...
Feer Básicas 31 21.746 31-03-2013, 18:56 por anonimail
  Tema: [Tecnicas Digitales I] Transparencias de clase - Curso R3001
Mensaje: [Tecnicas Digitales I] Transparencias de clase - C...

aca voy subiendo las transparencias que vamos viendo en clase, se va agregando. [attachment=3674] [attachment=3675] [attachment=3676] [attachment=3677] [attachment=6031] [attachment=6025] [attachmen...
yaoming Electrónica 5 7.678 22-03-2013, 16:36 por anonimail
  Tema: [1C2013] Planillas de Horarios
Mensaje: [1C2013] Planillas de Horarios

Estaba buscando esto y lo encontré en la pagina del CEIT. Lo dejo acá para tener un acceso foril mas fácil. En el .rar están todos comprimidos. Suerte con las alternativas! ;D
JulianD Consultas Administrativas 14 8.053 28-02-2013, 15:28 por anonimail
  Tema: [Informatica 1][Aporte] 1er parcial.
Mensaje: [Informatica 1][Aporte] 1er parcial.

Les adjunto el primer parcial del Ing. Furfaro. Saludos.
yaoming Electrónica 9 7.893 07-02-2013, 18:20 por anonimail
  Tema: [INFO 1] Final 18/12/12 [RESUELTO]
Mensaje: [INFO 1] Final 18/12/12 [RESUELTO]

Les escribo lo que me acuerdo de lo que tomaron hoy así queda asentado: TEÓRICO T1. Explicar en forma clara y concisa en que consisten los procesos de compilación y linkeado. T2. Te daban dos núm...
JulianD Electrónica 9 3.795 06-02-2013, 18:14 por anonimail
  Tema: [Aporte] Funciones de C para final
Mensaje: [Aporte] Funciones de C para final

Hice un "popurrí" de las funciones que mas se usan en la materia como para tenerlas a mano cuando se práctica o para leerlas antes de entrar a dar el final, lo comparto, cualquier duda/sugerencia me d...
Feer Electrónica 13 4.273 06-02-2013, 16:21 por anonimail
  Tema: [Informática I] Primer parcial
Mensaje: [Informática I] Primer parcial

Les dejo el "primer" parcial que nos tomaron, les adjunto el enunciado xd. Parece largo pero lo documente todo así lo pueden separar si quieren:P Global.h /** * \file global.h * \brief Protot...
Feer Electrónica 10 3.899 25-01-2013, 21:55 por anonimail
  Tema: [Informatica I][Aporte] Finales Diciembre
Mensaje: [Informatica I][Aporte] Finales Diciembre

Hola, dejo los 3 finales de Info I que tomaron ahora en diciembre. Espero que les sirva. Saludos
panza Electrónica 11 9.352 22-01-2013, 00:13 por anonimail
  Tema: [APORTE] Primer parcial y Finales Física I
Mensaje: [APORTE] Primer parcial y Finales Física I

Me pareció bueno compartir esto con ustedes. Tienen para divertirse con esto! :)
Lean56 Básicas 7 18.174 11-01-2013, 20:34 por anonimail
  Tema: [APORTE] Final Álgebra 24/02/12 Tema 2
Mensaje: [APORTE] Final Álgebra 24/02/12 Tema 2

Les dejo el tema 2 del final tomado el viernes pasado para que lo vean, por ahí me habían dicho que tenían el tema 1 también. Si lo pueden poner acá también, estaría copado. [img]http://i.imgur.com/N...
rommisu Básicas 33 12.207 13-12-2012, 16:32 por anonimail
  Tema: [Aporte] Final 10-12-12 [resuelto]
Mensaje: [Aporte] Final 10-12-12 [resuelto]

Les traigo el final del lunes anterior. Aprobable en todo sentido (lo aprobé ahí igual jaja), tomaron tooodo si se quiere (quizas no matrices ni determinantes). [attachment=5306] Un saludo.
Diego Pedro Básicas 18 7.331 13-12-2012, 16:28 por anonimail
  Tema: [APORTE] Final 03-12-12
Mensaje: [APORTE] Final 03-12-12

Les subo el final que se tomó el lunes pasado de álgebra, que lo vi por facebook y me pareció bueno traerlo acá. [attachment=5237] Un saludo!
Diego Pedro Básicas 24 5.616 13-12-2012, 16:26 por anonimail
  Tema: Duda sobre promocion de AMI
Mensaje: RE: Duda sobre promocion de AMI

te cuento, la firma de promoción es la segunda fecha a las 19, horario de final. Entras y buscas en la cartelera el aula de promocion de Am1 . Tenes que averiguar si ya fue la segunda fecha, de habe...
CarooLina Consultas Administrativas 3 1.369 12-12-2012, 14:57 por anonimail
  Tema: [APORTE] Finales Química General
Mensaje: [APORTE] Finales Química General

Para los que tienen o tendrán que rendir el final acá les dejo varios finales :D
Lean56 Básicas 2 5.380 07-12-2012, 15:10 por anonimail
  Tema: [Aporte] - [TPS - Laboratorio] - Química GENERAL
Mensaje: [Aporte] - [TPS - Laboratorio] - Química GENERAL

Les adjunto los tps de quimica general... Estos no los encontré acá y son todos hechos por mi:D, si hay errores corrijan xd [attachment=1648] [attachment=1649] [attachment=1650] [attachment=1651] [a...
Feer Básicas 28 41.046 03-10-2012, 12:47 por anonimail
  Tema: 2° parcial con numeros complejos (Cozzani)
Mensaje: RE: 2° parcial con numeros complejos (Cozzani)

Busca de la biblioteca: Analisis de señales y sistemas de Ceaiem y Armentano tenes un apartado de complejos de 10 hojitas y tenes un TP ENTERO de ejercicios de complejos jajaja... Eso para arrancar de...
Feer Básicas 2 2.078 29-09-2012, 15:14 por anonimail
  Tema: Combustion, entalpia y poder calorifico
Mensaje: RE: Combustion, entalpia y poder calorifico

La combustion es una reaccion quimica...ahi te dan todos los datos para que pases ese volumen de hidrogeno a moles; luego supones que se combino con oxigeno atmosferico (esa es la reaccion que prendio...
VincentVega Básicas 3 4.708 12-09-2012, 15:07 por anonimail
  Tema: TPs Laboratorios de Quimica (Resueltos)
Mensaje: RE: TPs Laboratorios de Quimica (Resuletos)

Al que le sirva :thumbup3: :thumbup3: [attachment=1634] saludos
Saga Básicas 27 42.842 03-08-2012, 14:51 por anonimail
  Tema: [Informatica I][Aporte] Finales Diciembre
Mensaje: RE: [Informatica I][Aporte] Finales Diciembre

En el adjunto van un par de ejemplos de ejercicios de finales, entre ellos uno de uniones, Saludos y exitos.
Feer Electrónica 11 9.352 23-07-2012, 18:52 por anonimail