Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Lo que vos decis sobre la atenuación (lambda/4) ¿De donde lo sacaste? Te sugiero que la atenuación de la ventana óptica la saques en función al gráfico de dispersión (at/km en función de la longitud ...
John_Doe* Electrónica 20 6.527 16-02-2015, 01:57 por guilles
  Tema: [Aporte] Finales 2014 TD1
Mensaje: [Aporte] Finales 2014 TD1

Dejo unos cuantos finales de TD1, desde Dic/13. La mayoria son nuevos, asi que serviran para practicar.
target Electrónica 1 1.565 06-10-2014, 21:35 por guilles
  Tema: Parciales-Final TC1 Pessana
Mensaje: Parciales-Final TC1 Pessana

Chicos dejo 4 parciales (incluido un recuperatorio) y un final de tc1 de Pessana.. El final es el primero que tomo despues de que cambio el programa de tc! Espero que les sirva!! Saludos y éxitos! Agr...
peperina Electrónica 1 4.039 17-12-2013, 13:42 por guilles
  Tema: [DISPOSITIVOS ELECTRONICOS] Final oral con Sanguineti
Mensaje: [DISPOSITIVOS ELECTRONICOS] Final oral con Sanguin...

Esto es un final que rindió un amigo en Feb/Marzo 2012: es lo único que hay. Es un final INCONSEGUIBLE!!!! jaja. Cita:Un profesor me dió a elegir un papelito de entre muchos. Fijate que me pareció q...
goncar87 Electrónica 51 21.076 30-11-2013, 14:32 por guilles
  Tema: Recomendacion Profesores 5to Año
Mensaje: Recomendacion Profesores 5to Año

Bueno, que tal gente, aprovecho a escribir el resumen del año. Este año hice TCII que me quedo de 4to + Potencia, ME2, TD3 y Tecnologia. Voy a cada una de ellas: TCII - Carlos Navarro (P) y Nahuel G...
marian99 Electrónica 3 3.499 27-11-2013, 16:41 por guilles
  Tema: teoria de circuitos I guia resuelta y parciales
Mensaje: teoria de circuitos I guia resuelta y parciales

hola gente! aca tengo un par de archivos para compartir!!! 1) modelos de parciales 2) Guia resuelta 3) TP de laboratorio resuelto sepan agradecer...jejejeje che si alguien tiene finales de a...
mario88 Electrónica 10 11.606 25-11-2013, 17:48 por guilles
  Tema: [Teoría de Circuitos I] 3º Parcial de Franco Pessana
Mensaje: [Teoría de Circuitos I] 3º Parcial de Franco Pessa...

Les dejo el 3º parcial. Como verán, bastante zarpado. El 1º ejercicio era bien largo (porque involucraba productos e inversión de matrices), el 2º no, pero si lo trataba de hacer metódicamente daba cu...
pablo.m Electrónica 3 2.589 25-11-2013, 17:46 por guilles
  Tema: [Electronica Aplicada I] Final 25/02/2013 hecho por Virgili
Mensaje: [Electronica Aplicada I] Final 25/02/2013 hecho po...

Chicos, les paso el final del dia 25-02-2013. Yo la curse con Virgili y me tomo el. Cada profe tiene su propio final. Espero que les sirva!
goncar87 Electrónica 1 2.585 19-11-2013, 23:16 por guilles
  Tema: Matlab - Proyectito Aplicacion de Diseño de Filtros
Mensaje: RE: Matlab - Proyectito Aplicacion de Diseño de Fi...

Esta el Filter Pro de Texas que esta muy bueno! Ese hace lo que vos queres!
marian99 Electrónica 5 2.278 27-10-2013, 15:22 por guilles
  Tema: Libros para medios de enlace
Mensaje: Libros para medios de enlace

Conseguí por un par de paginas estos libros recomendados por los profesores de medios de enlace, para llevar las clases y apoyo de los temas. El libro de Skilling lo recomienda mucho Dwornizack para l...
Gus4c Electrónica 6 9.644 02-10-2013, 20:55 por guilles
  Tema: [APORTE]-[LIBRO] MatLab Para Ingenieros
Mensaje: [APORTE]-[LIBRO] MatLab Para Ingenieros

Hola, muy buenas, vengo a dejar un libro muy útil para todo lo que sea ingeniería: "MatLab Para Ingenieros". Es un libro en donde explican cómo usar MatLab, que es un programa para resolver problemas ...
Nico182 Eléctrica 2 5.515 21-09-2013, 21:05 por guilles
  Tema: [Sedra & Smith] Circuitos Microelectronicos
Mensaje: RE: [Sedra & Smith] Circuitos Microelectronicos

Nunca esta de mas tenerlo, pero usen el libro que hizo Tulic, tiene todo tal cual se da y lo necesario...
marian99 Electrónica 12 13.107 11-09-2013, 16:14 por guilles
Lightbulb Tema: [APORTE] Resumen Electronica Aplicada I y II
Mensaje: [APORTE] Resumen Electronica Aplicada I y II

Buenas, les dejo estos resumenes de estas dos materias que encontre junto con otros.. Espero les sirva. (Algun dia encontrare alguno como estos para mecanica y sere feliz :( ) Saludos
Brich Electrónica 17 17.507 26-08-2013, 21:47 por guilles
  Tema: [Sedra & Smith] Circuitos Microelectronicos
Mensaje: [Sedra & Smith] Circuitos Microelectronicos

Les dejo este libro que recomendó Virgili para Aplicada 1. Lo anduve hojeando y pinta bueno. Conseguí la cuarta edición en español y la quinta en ingles. [img]http://1.bp.blogspot.com/-GcOWecWmIIs/U...
JulianD Electrónica 12 13.107 26-08-2013, 21:45 por guilles
  Tema: [Resumen][Primer Parcial] Medios de enlace - Dwarnizak
Mensaje: [Resumen][Primer Parcial] Medios de enlace - Dwarn...

Hola, les dejo el resumen que arme para el primer parcial con Dwarnizak. La posta es que parece muy corto o algo así pero con esto pude resolver el exámen entero que propuso y un par que había dando v...
Feer Electrónica 1 2.712 18-08-2013, 20:36 por guilles
  Tema: Compendio de preguntas teoricas que aparecen en los finales
Mensaje: Compendio de preguntas teoricas que aparecen en lo...

Haciendo una recolección de finales para preparar el final de Física Electrónica, me propuse realizar un resumen teórico con las preguntas que fui encontrando en los finales. Al que le sirva lo dejo p...
Gus4c Electrónica 1 1.790 16-08-2013, 12:23 por guilles
  Tema: [Pueyo-Marco] Analisis de Modelos Circuitales (Tomos I y II)
Mensaje: [Pueyo-Marco] Analisis de Modelos Circuitales (Tom...

Les dejo este libro bastante recomendado para algunas partes de TC-I. No es dificil de conseguir, pero siempre viene bien tenerlo en el foro :P Saludos! [img=600x450]http://img534.imageshack.us/img5...
JulianD Electrónica 11 24.477 09-08-2013, 22:43 por guilles
  Tema: Fisica Electronica - Final, Tps y Parciales Resueltos
Mensaje: Fisica Electronica - Final, Tps y Parciales Resuel...

Hola que tal, acabo de dar el final de Fisica Electronica anoche (8/8/2013), no tengo ninguna copia de lo que nos tomaron pero les comento mas o menos: 1) a)Postulados de De Broglie. ...
luchonqn Electrónica 4 7.916 09-08-2013, 17:21 por guilles
  Tema: Digitales 2 - Final del 6/8/13
Mensaje: Digitales 2 - Final del 6/8/13

Hola. No tengo una copia del tema, pero voy a describirlo más o menos. Se ve que lo hizo Romeo. Cursé con él y pude detectar su rastro en las preguntas. Decía algo así: - ENOB, definir blablabla. Ped...
luchovl2 Electrónica 0 1.297 08-08-2013, 03:16 por guilles
  Tema: Asys anti transformar con tablas
Mensaje: RE: Asys anti transformar con tablas

Lo plantee derivando la señal. Acá te lo mando, espero no haberme mandado algún moco grande. Ej Laplace Saludos
sebah_12 Electrónica 2 1.195 05-08-2013, 19:51 por guilles
  Tema: Teoria de Circuitos I - Carpeta Completa
Mensaje: Teoria de Circuitos I - Carpeta Completa

Aca subo mi carpeta de TCI, fue la cursada de F.Pessana del 2012. Esto también lo escaneo Carlos Cali asi que nuevamente los agradecimientos para el. Espero que sirva. [attachment=7054] [attachment=7...
luchonqn Electrónica 18 14.216 04-08-2013, 09:17 por guilles
  Tema: Asys Duda ejercicio final
Mensaje: RE: Asys Duda ejercicio final

Para ver si converge es más fácil verlo en esta expresión, sin armar el seno hiperbolico: \[Ae^{-t (\epsilon {\omega}_n + {\omega}_n \sqrt{\epsilon^2-1)}}+Be^{-t(\epsilon {\omega}_n - {\omega}_n \sqrt...
Stille Electrónica 16 4.915 31-07-2013, 21:44 por guilles
  Tema: Asys Duda ejercicio final
Mensaje: RE: Asys Duda ejercicio final

es el final del 26 de mayo del 2011? por que si es ese tenes mal pasada la transferencia, abajo el segundo termino esta multiplicado por S tambien
fcoppede Electrónica 16 4.915 31-07-2013, 12:27 por guilles
  Tema: [Digitales I]Varios finales para practicar
Mensaje: [Digitales I]Varios finales para practicar

Bueno este es mi humilde aporte para quienes esten preparando el final de esta materia. Tiene varios finales del 2010 para acá. El último es el que di la semana pasada. Como eran muchos y de varios a...
Rami Electrónica 2 1.745 13-07-2013, 00:10 por guilles
  Tema: Problema Anti-transformada Z
Mensaje: RE: Problema Anti-transformada Z

Me lo hubieras pedido, ahi va.. La onda es usar la propiedad de derivacion compleja (5), llevando la funcion que te dan a esa forma y una vez que antitransformas le sacas lo que le agregaste.
JulianD Electrónica 2 1.602 11-07-2013, 13:07 por guilles
  Tema: Física Universitaria - Sears & Zemansky [PDF]
Mensaje: Física Universitaria - Sears & Zemansky [PDF]

[img]http://i44.tinypic.com/2n9ffop.png[/img] Cita:Parte I: Mecánica Capítulo 1: Unidades, cantidades físicas y vectores Capítulo 2: Movimiento en línea recta Capítulo 3: Movimiento en dos o tres di...
Nikod11 Básicas 42 220.479 03-07-2013, 17:24 por guilles