Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
  Tema: [Aporte] Resumen de fórmulas de Física II - Hecho por mí
Mensaje: RE: [Aporte] Resumen de fórmulas de Física II - He...

Sugerencias y correciones: Electrostática 1) Revisa la expresión de fuerza eléctrica que escribiste. Me refiero a: Vector fuerza eléctrica: \[\bar{F_{1,2}} = \frac{1}{4\pi\epsilon} \cdot \frac{q_1...
John_Doe* Básicas 3 14.694 08-07-2019, 01:59 por MelisaGodoy
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 21-04-2019, 15:40 por edigiulio
  Tema: [Física II] Referencias Prof. Raiker
Mensaje: RE: [Física II] Referencias Prof. Raiker

Excelente docente. Si lo sabes aprovechar, ese docente te deja en claro cualquier duda; aparte de explicar más que claro hace una enorme cantidad de ejercicios en clase por lo que no hay excusa para d...
John_Doe* Básicas 10 7.578 28-02-2018, 15:14 por Giulia
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 29-11-2017, 17:01 por tomaas
  Tema: [APORTE] EL POST en el que se dan opiniones concretas de profesores
Mensaje: RE: [APORTE] EL POST en el que se dan opiniones co...

Doy mi aporte por si le sirve a algun ingresante: Ing. Electronica: Fisica I: Profesor Claudio Colombo Muy buena persona, accesible e incluso puedo decir macanudisimo. Como docente remarco: se le e...
John_Doe* Cartelera de Carreras 87 118.318 19-04-2017, 18:36 por heinn
  Tema: 2º Parcial recuperatorio Informatica II 9/12/13
Mensaje: 2º Parcial recuperatorio Informatica II 9/12/13

Disculpen la demora chicos, vengo a hacer un pequeño aporte para la sección de electrónica: les subo el parcial recuperatorio del 2º cuatrimestre de Informatica 2 que tomaron el 9 de diciembre. Bastan...
John_Doe* Electrónica 1 2.194 17-03-2017, 02:03 por diegomsaiz
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Lo que vos decis sobre la atenuación (lambda/4) ¿De donde lo sacaste? Te sugiero que la atenuación de la ventana óptica la saques en función al gráfico de dispersión (at/km en función de la longitud ...
John_Doe* Electrónica 20 6.627 16-11-2016, 12:03 por Cicloide
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: Final de Medios de Enlace 03/12/14

Gente disculpen pero me olvide de pedir uno, asi que les dejo lo que recuerdo: 2 teóricos y 2 prácticos, fue un final al estilo Dworniczak. Tuvo rejunte de ejercicios de otros finales: 1 Teórico: Se...
John_Doe* Electrónica 20 6.627 16-11-2016, 11:48 por Cicloide
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 12-07-2016, 19:19 por juani.ilamendy
  Tema: Promocioné y no me quedan hojas disponibles en la libreta
Mensaje: RE: Promocioné y no me quedan hojas disponibles en...

Pregunto de curioso pero: ¿Cuántas materias podes promocionar en química? Al menos con las básicas y tomando en cuenta el modelo actual de libreta es imposible que te quedes sin espacio. ¿Tenés el mod...
John_Doe* Consultas Administrativas 18 4.829 07-07-2016, 00:03 por VerdeBuu
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 26-02-2016, 15:36 por bicho
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Ah ahora te entiendo maty, no sabía que tenías el final. Gracias por compartirlo. Lo que hiciste en el ejercicio está bien. Che no sabia que hay un grupo de facebook. Hernan creo que se alterna con ...
John_Doe* Electrónica 20 6.627 04-01-2016, 21:57 por chrisgel15
  Tema: aporte final fisica 2 15/07/2015 [resuelto]
Mensaje: RE: aporte final fisica 2 15/07/2015 [resuelto]

Expresión de números complejos y producto de exponentes de la misma base se suman ... Por ejemplo: \[\bar{V_L} = \bar{I} \cdot \bar{Z_L} = \sqrt{2} e^{j37} A \cdot 7 e^{j90} \Omega = \sqrt{2} \cdo...
John_Doe* Básicas 23 12.258 27-07-2015, 17:29 por Roman80
  Tema: [Consulta][Profesor ASyS] Opniones sobre profesor Blenkmann de Analisis de SyS
Mensaje: RE: [Consulta][Profesor ASyS] Opniones sobre profe...

Blenkmann tiene el curso de los martes a la noche y el ayudante es Vorobioff. Yo estoy terminando la cursada con él, lo que te puedo decir es que ambos docentes son muy buenos. Vorobioff es un profe...
John_Doe* Electrónica 18 4.835 13-07-2015, 16:44 por sribot
  Tema: Fisica ejercicio de fuerzas [Modulo b]
Mensaje: RE: Fisica ejercicio de fuerzas [Modulo b]

Ariel, es tal cual como te explico Saga. Concepto vectoriales: Módulo (también conocido como norma): Raíz cuadrada de la suma de los cuadrados de las componentes. Sea un vector en R2 (plano xy para ...
John_Doe* Consultas 5 2.523 08-07-2015, 09:23 por ariel.9613
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 27-06-2015, 17:55 por juansalvo94
  Tema: Necesito ayuda para armar una calculadora en C y no sé como empezar?
Mensaje: RE: Necesito ayuda para armar una calculadora en C...

No vengo a defender a Trujillo, todo aquel que lo tuvo sabe como es. A veces resulta un tanto asqueroso y es medio reventado pero como docente al flaco lo aplaudo. El tipo me hizo parir informatica 2 ...
John_Doe* Electrónica 26 7.358 30-05-2015, 01:43 por Feer
  Tema: Necesito ayuda para armar una calculadora en C y no sé como empezar?
Mensaje: RE: Necesito ayuda para armar una calculadora en C...

No vengo a defender a Trujillo, todo aquel que lo tuvo sabe como es. A veces resulta un tanto asqueroso y es medio reventado pero como docente al flaco lo aplaudo. El tipo me hizo parir informatica 2 ...
John_Doe* Electrónica 26 7.358 29-05-2015, 10:34 por multimak
  Tema: Necesito ayuda para armar una calculadora en C y no sé como empezar?
Mensaje: RE: Necesito ayuda para armar una calculadora en C...

No vengo a defender a Trujillo, todo aquel que lo tuvo sabe como es. A veces resulta un tanto asqueroso y es medio reventado pero como docente al flaco lo aplaudo. El tipo me hizo parir informatica 2 ...
John_Doe* Electrónica 26 7.358 28-05-2015, 12:57 por CarliiN
  Tema: Materias sin vacantes
Mensaje: RE: Materias sin vacantes

Como te dijeron arriba, habla con Navarro. Como sugerencia, mandale un mail previamente anticipando el asunto; según hable en su momento con la gente del depto. de electrónica Navarro está en medrano ...
John_Doe* Consultas Administrativas 14 2.817 16-03-2015, 22:25 por Cicloide
  Tema: Materias sin vacantes
Mensaje: RE: Materias sin vacantes

Como te dijeron arriba, habla con Navarro. Como sugerencia, mandale un mail previamente anticipando el asunto; según hable en su momento con la gente del depto. de electrónica Navarro está en medrano ...
John_Doe* Consultas Administrativas 14 2.817 16-03-2015, 17:16 por Kira90
  Tema: [Medios de enlace] Fundamentos de Electromagnetismo para Ingenería - David K Cheng
Mensaje: RE: [Medios de enlace] Fundamentos de Electromagne...

No puedo hostearlo aca porque el archivo es muy grande. Te comento, pesa (comprimido) 89,4MB. En esta semana puede que me den permisos para subir archivos en este grupo: https://drive.google.com/fold...
John_Doe* Electrónica 5 4.631 10-03-2015, 23:40 por Santi Aguito
  Tema: [Medios de enlace] Fundamentos de Electromagnetismo para Ingenería - David K Cheng
Mensaje: [Medios de enlace] Fundamentos de Electromagnetism...

Como no lo encontré por el foro, les dejo un link de descarga del Cheng en español para medios de enlace, el rar lo subi a esta página http://www.speedyshare.com/ que resultó ser bastante buena. En el...
John_Doe* Electrónica 5 4.631 10-03-2015, 21:35 por gonnza
  Tema: [Medios de enlace] Fundamentos de Electromagnetismo para Ingenería - David K Cheng
Mensaje: [Medios de enlace] Fundamentos de Electromagnetism...

Como no lo encontré por el foro, les dejo un link de descarga del Cheng en español para medios de enlace, el rar lo subi a esta página http://www.speedyshare.com/ que resultó ser bastante buena. En el...
John_Doe* Electrónica 5 4.631 10-03-2015, 21:08 por franchuvrs
  Tema: Duda sobre cursar info2 + td1
Mensaje: RE: Duda sobre cursar info2 + td1

Vengo a aportar: TD1 depende del docente, pero creo que es mucho más light que Info 2. Me baso en mi cursada de digitales (Garbino) en comparación de Info 2 (Trujillo). Info 2 no es muy jodida, realm...
John_Doe* Electrónica 6 2.366 09-03-2015, 11:33 por lenny33
  Tema: [Medios de enlace] Fundamentos de Electromagnetismo para Ingenería - David K Cheng
Mensaje: [Medios de enlace] Fundamentos de Electromagnetism...

Como no lo encontré por el foro, les dejo un link de descarga del Cheng en español para medios de enlace, el rar lo subi a esta página http://www.speedyshare.com/ que resultó ser bastante buena. En el...
John_Doe* Electrónica 5 4.631 03-03-2015, 19:38 por Santi Aguito
  Tema: ayuda con ejercicio de circuitos
Mensaje: RE: ayuda con ejercicio de circuitos

Te sugiero que para estos casos apliques superposición en vez de Kirchhoff. Aunque por la complejidad del circuito realmente con cualquier cosa lo resolves, es bastante simplón. Tené en cuenta superp...
John_Doe* Básicas 5 1.494 18-02-2015, 00:58 por Axel_FRH
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Lo que vos decis sobre la atenuación (lambda/4) ¿De donde lo sacaste? Te sugiero que la atenuación de la ventana óptica la saques en función al gráfico de dispersión (at/km en función de la longitud ...
John_Doe* Electrónica 20 6.627 16-02-2015, 01:57 por guilles
  Tema: FINAL (27 / 02 / 2014) FISICA 2 RESUELTO
Mensaje: RE: FINAL (27 / 02 / 2014) FISICA 2 RESUELTO

Una aclaración del punto 5 te hago: Si te muestran un circuito con instrumental, el valor leído es el eficaz. Por ende el amperímetro te esta dando la corriente eficaz a través del circuito. Segundo,...
John_Doe* Básicas 11 5.165 08-02-2015, 15:58 por holautn
  Tema: Duda Circuitos Final Fisica II 31/07/2013
Mensaje: RE: Duda Circuitos Final Fisica II 31/07/2013

Jaja no hacemos bullying, menos con esos circuitos. La caída de tensión del capacitor no es la misma que la del resistor R4 sino la misma del potencial A. Vos fijate que te piden la tensión en el rég...
John_Doe* Básicas 8 2.217 03-02-2015, 23:20 por holautn
  Tema: Ejercicio Optica Final Fisica 2
Mensaje: RE: Ejercicio Optica Final Fisica 2

En la realidad vos siempre ves los efectos de la difracción y la interferencia, así que formalmente hablando el patrón es la imagen que te puse arriba. Incluso no te olvides que después del mínimo de ...
John_Doe* Básicas 10 2.414 02-02-2015, 14:50 por holautn
  Tema: Ejercicio Optica Final Fisica 2
Mensaje: RE: Ejercicio Optica Final Fisica 2

Sumandome a lo que dice Feer, no voy a resolver el ejercicio pero te voy a recalcar un punto: Cuando hacen referencia a una franja brillante en un patrón se refieren a interferencia constructiva. Por...
John_Doe* Básicas 10 2.414 02-02-2015, 14:40 por holautn
  Tema: Ejercicio Optica Final Fisica 2
Mensaje: RE: Ejercicio Optica Final Fisica 2

Sumandome a lo que dice Feer, no voy a resolver el ejercicio pero te voy a recalcar un punto: Cuando hacen referencia a una franja brillante en un patrón se refieren a interferencia constructiva. Por...
John_Doe* Básicas 10 2.414 02-02-2015, 00:58 por MSC
  Tema: [APORTE] Final Física II 02/12/2014 con RTAS
Mensaje: RE: [APORTE] Final Física II 02/12/2014 con RTAS

¿Hablas del dl del V que puso jonifa? Si te referis a ese: Surge de expresar el trabajo total realizado por el campo eléctrico (o bien el trabajo de la fuerza eléctrica) en llevar la "carga" desde u...
John_Doe* Básicas 24 7.479 29-01-2015, 23:08 por holautn
  Tema: Parciales y finales - Física Electronica 2014
Mensaje: Parciales y finales - Física Electronica 2014

Les adjunto el primer y segundo parcial que tomó Nesprías durante el 1° cuatrimestre del 2014 + el final de la primer fecha de diciembre del 2014 que por el formato me parece que también lo hizo Nespr...
John_Doe* Electrónica 0 1.778 27-01-2015, 19:23 por Santi Aguito
  Tema: [AMII] TP1 Ejercicio 10
Mensaje: RE: [AMII] TP1 Ejercicio 10

Este ejercicio es mejor encararlo pensando en "física 2" (o con Asys donde lo vivis usando) pero usando las herramientas del análisis matemático: Dado que es un circuito en serie la corriente que cir...
John_Doe* Básicas 3 1.360 07-01-2015, 16:52 por Troyano
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Disculpa por la demora hernan.81 Asumiendo que vos te referis al apunte de medios de transmisión. Teniendo por dato las pérdidas en el conductor (R y L) y las pérdidas del dieléctrico (G y C) Vos par...
John_Doe* Electrónica 20 6.627 18-12-2014, 14:28 por JulianD
  Tema: [APORTE] Final Física II 02/12/2014 con RTAS
Mensaje: RE: [APORTE] Final Física II 02/12/2014 con RTAS

(03-12-2014 00:58)aguZion escribió:  5. Ejercicio de alterna. Circuito RLC.. te daban un montón de datos. en el gráfico había un voltímetro que todavía no se para que mierda servía. te decían qu...
John_Doe* Básicas 24 7.479 15-12-2014, 12:51 por proyectomaru
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Creo que estamos diciendo lo mismo maty. Sino aclarame porque me parece que me estoy confundiendo. En el final te daban las coordenadas de los mínimos de tensión respecto de la carga. La diferencia en...
John_Doe* Electrónica 20 6.627 08-12-2014, 17:38 por matyary
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: Final de Medios de Enlace 03/12/14

Gente disculpen pero me olvide de pedir uno, asi que les dejo lo que recuerdo: 2 teóricos y 2 prácticos, fue un final al estilo Dworniczak. Tuvo rejunte de ejercicios de otros finales: 1 Teórico: Se...
John_Doe* Electrónica 20 6.627 04-12-2014, 12:42 por inrockuptible
  Tema: PEDIDO de apuntes de archivos y puerto paralelo en C!
Mensaje: RE: PEDIDO de apuntes de archivos y puerto paralel...

¿Probaste consultando con el Deitel y Deitel? Deitel y Deitel - Como programar en C, fijate el capítulo 11 (acorde a mi versión pirateada) que trata sobre manejo de archivos y su procesamiento. Apart...
John_Doe* Electrónica 2 1.714 05-11-2014, 12:19 por JulianD
  Tema: PEDIDO de apuntes de archivos y puerto paralelo en C!
Mensaje: RE: PEDIDO de apuntes de archivos y puerto paralel...

¿Probaste consultando con el Deitel y Deitel? Deitel y Deitel - Como programar en C, fijate el capítulo 11 (acorde a mi versión pirateada) que trata sobre manejo de archivos y su procesamiento. Apart...
John_Doe* Electrónica 2 1.714 04-11-2014, 15:59 por mpf10
  Tema: Apuntes de Física Electrónica
Mensaje: RE: Apuntes de Física Electrónica

Te agrego algo más de Schrodinger, un pdf sobre la resolución de los problemas típicos bastante bien encarados y sin nada estrambótico. E incluso sirve como base para encarar problemas combinados. P...
John_Doe* Electrónica 3 5.339 04-08-2014, 22:29 por Santi Aguito
  Tema: [Técnicas Digitales 1] Final de Mayo 2014
Mensaje: [Técnicas Digitales 1] Final de Mayo 2014

Les adjunto el final de digitales 1 que se tomó en la fecha de mayo, cortesía de mi docente de digitales 1.
John_Doe* Electrónica 5 2.821 01-06-2014, 17:09 por freckles
  Tema: [Técnicas Digitales 1] Final de Mayo 2014
Mensaje: [Técnicas Digitales 1] Final de Mayo 2014

Les adjunto el final de digitales 1 que se tomó en la fecha de mayo, cortesía de mi docente de digitales 1.
John_Doe* Electrónica 5 2.821 31-05-2014, 18:43 por diegomsaiz
  Tema: [Técnicas Digitales 1] Final de Mayo 2014
Mensaje: [Técnicas Digitales 1] Final de Mayo 2014

Les adjunto el final de digitales 1 que se tomó en la fecha de mayo, cortesía de mi docente de digitales 1.
John_Doe* Electrónica 5 2.821 29-05-2014, 10:16 por JulianD
  Tema: [Técnicas Digitales 1] Final de Mayo 2014
Mensaje: [Técnicas Digitales 1] Final de Mayo 2014

Les adjunto el final de digitales 1 que se tomó en la fecha de mayo, cortesía de mi docente de digitales 1.
John_Doe* Electrónica 5 2.821 29-05-2014, 00:51 por Dr Ross Geller
  Tema: [Física II] Referencias Prof. Raiker
Mensaje: RE: [Física II] Referencias Prof. Raiker

Disculpen la demora, les dejo aca unos parciales que nos envío el docente para practicar previo a los parciales. En el parcial del primer cuatrimestre uno de los resultados es incorrecto: problema 4 ...
John_Doe* Básicas 10 7.578 02-04-2014, 18:39 por ortiba
  Tema: Dudas sobre la carrera
Mensaje: RE: Dudas sobre la carrera

En el hipotético caso de que al recibirte como ingeniero termines como vos decís "reparando electrodomésticos", lamento decirte que es exclusivamente TU culpa: 1) No supiste valorar/defender tu profe...
John_Doe* Electrónica 8 2.859 26-03-2014, 02:53 por Francko7
  Tema: 1er año Materias
Mensaje: RE: 1er año Materias

Como sos de electronica: Tenes enormes chances de que en Quimica te toque con Peirolo. Por algún lado di una explicación detallada sobre como es el docente pero en resumidas cuentas: Vas a parir Quím...
John_Doe* Electrónica 18 6.184 18-03-2014, 15:22 por Oceanic