Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
  Tema: Parciales resueltos Teoria de circuitos 1. Tc1
Mensaje: Parciales resueltos Teoria de circuitos 1. Tc1

Buenas, les dejo lo que resolví este año. Nada es oficial, puede tener errores. Ya está subido al drive. Saludos!
Ailin.Florencia Electrónica 1 2.183 03-12-2018, 02:05 por Feer
  Tema: Guia resuelta de Técnicas Digitales 1
Mensaje: Guia resuelta de Técnicas Digitales 1

Cursada de Simone 2018, subo lo que fui resolviendo.
Ailin.Florencia Electrónica 1 3.760 05-11-2018, 19:22 por Feer
Star Tema: FRBA ► Economía ► Finales
Mensaje: FRBA ► Economía ► Finales

Hola a todos y a todas. Como desde hace unos meses vengo juntando las consignas de los finales de Economía que se han venido tomando en los últimos años en todas las fechas de final de la Facultad Re...
pablit Básicas 27 33.318 11-10-2018, 18:49 por Feer
  Tema: Final Informatica 1 (Octubre 2018)
Mensaje: Final Informatica 1 (Octubre 2018)

Final armado y tomado por Furfaro.
Ailin.Florencia Electrónica 2 3.545 11-10-2018, 12:18 por Feer
  Tema: Economía a pleno
Mensaje: Economía a pleno

Holanda, colegas UTNianos: Aprovecho para dejarles un par de libros de Cálculo Financiero, los cuales me parecieron bastante interesantes y complementan la cursada de Economía. Van a encontrar una c...
diegomsaiz Electrónica 0 1.325 09-05-2018, 01:53 por Feer
  Tema: Parciales Fisica II 2017 Prof: Sanguinetti
Mensaje: Parciales Fisica II 2017 Prof: Sanguinetti

Parciales tomados en 2017. No tengo las respuestas, pero creo que en el segundo quedaba algo tipo: 1- A- 6,56 T B- 1,2 mm (milimetros 2- A- 0,04285 m o 42,8 mm B- -0,2 mT en eje x (condu...
AilinFlorencia Electrónica 4 8.003 23-02-2018, 21:29 por Feer
  Tema: Carpeta de apuntes Ing Electrónica UTN.FRA Google Drive
Mensaje: Carpeta de apuntes Ing Electrónica UTN.FRA Google ...

Buenas les dejo una carpeta en google drive que encontré de todas las materias de ing. electrónica de UTN.FRA muy buena hay de todo.. https://drive.google.com/drive/folders/0...2xkMVVPYXM saludos.
mauriciopagano Electrónica 4 5.884 22-02-2018, 21:18 por Feer
  Tema: [RESUMEN] Formulas de Fisica I
Mensaje: [RESUMEN] Formulas de Fisica I

Buenas, con el permiso de Feer comparto este resumen de formulas. Es basicamente el resumen del tema "[RESUMEN] Física I - COMPLETO" pero condensado de 35 a 8 hojas, ideal para imprimir y llevar al p...
Bl4ckbl00d Básicas 3 18.620 16-01-2018, 14:24 por Feer
  Tema: De Psicología a Ingeniería
Mensaje: RE: De Psicología a Ingeniería

Si, hace unos años. Probe varias distros y me quede con esa, estaba aprendiendo a personalizar, después por alguna razón borre todo y deje sólo Windows. Fue horrible (?)
Rukia Otras preguntas 13 7.855 17-11-2017, 00:37 por Feer
  Tema: Análisis de Sistemas Lineales - Craiem - Armentano
Mensaje: RE: Análisis de Sistemas Lineales - Craiem - Armen...

Gracias Feer, consultando el programa de EA1 de la frba, veo que se sugiere Sedra-Smith. Acá en Mendoza también para algunas unidades, pero hay un apunte extenso de un ingeniero de hace años, un poco ...
nicotombino Electrónica 2 3.395 02-09-2017, 20:14 por Feer
  Tema: [TC2] Material de la cursada
Mensaje: [TC2] Material de la cursada

Buenas! Dejo un link donde tengo todo el material que junte para la cursada(2016) de TC2, hay libros, tps, apuntes, finales... (lo mismo que el drive de Electronica pero ordenado): https://www.dropbo...
cesarm Electrónica 5 3.866 12-08-2017, 01:39 por Feer
  Tema: Oferta Laboral en Electrónica - Instrumentación Analítica
Mensaje: Oferta Laboral en Electrónica - Instrumentación An...

Hola futuros colegas !! Hice mi carrera en la FRA y la FRBA. Conduzco una PYME. En ella colaboran y han colaborado muchos muchachos de UTN FRA, FRBA, UBA, Quilmes etc. Muchos ya también son ya ingeni...
OCP Electrónica 0 1.594 01-07-2017, 00:10 por Feer
  Tema: En qué unidades se concentran los finales de Economía - La Respuesta en este Post ;D
Mensaje: En qué unidades se concentran los finales de Econo...

Bueno, antes que nada: los finales de economía son integradores, por lo que siempre van a intentar meter la mayor cantidad de contenidos en los ejercicios a resolver. Esto implica que la información q...
Jammaster Electrónica 3 2.621 18-03-2017, 12:07 por Feer
  Tema: [Aporte][Asys] Final de 21/02/2017
Mensaje: [Aporte][Asys] Final de 21/02/2017

Final de Análisis de señales y sistemas
anonimail Electrónica 2 2.267 02-03-2017, 02:38 por Feer
  Tema: 1° Parcial Resuelto Info1 2015 - Prof. Roberto Gomez
Mensaje: 1° Parcial Resuelto Info1 2015 - Prof. Roberto Gom...

Les adjunto 1° Parcial Resuelto por el Prof. Roberto Gomez. Saludos
Julian17 Electrónica 1 2.644 24-02-2017, 23:48 por Feer
  Tema: Final del 13/12/16
Mensaje: Final del 13/12/16

Adjunto el final tomado el 13/12/16 de informática 1 con mi resolución, disculpen no se si esta bien por que estoy practicando y también por no haberlo escaneado. [/code] #include<stdio.h> ...
seebas Electrónica 1 1.546 11-02-2017, 23:00 por Feer
  Tema: Mail del profesor de bioinformática?
Mensaje: RE: Mail del profesor de bioinformática?

Yo tengo el mail yankilevich@gmail.com Saludos
Nacho14 Sistemas 2 1.868 02-02-2017, 01:02 por Feer
  Tema: Guias + resueltos + 2do Parcial resuelto + Diagrama Smith + Libro pdf + Cheng Online
Mensaje: Guias + resueltos + 2do Parcial resuelto + Diagra...

Buenas noches, les dejo: * Las guias para resolver problemas * Los resueltos de las guias * El 2do parcial que tomaron el 18 de Noviembre en curso de Sinardi * El resuelto del parcial * El diagrama d...
INGAR Electrónica 11 6.546 16-12-2016, 00:38 por Feer
  Tema: apuntes introduccion al diseño de circuitos impresos
Mensaje: apuntes introduccion al diseño de circuitos impres...

Aca tienen algunos apuntes de la materia, como para ir mirando los temas, modelo de parcial, etc.
mario88 Electrónica 1 1.738 23-11-2016, 02:05 por Feer
  Tema: Software para Convlolucion
Mensaje: Software para Convlolucion

Buenas noches gente, a los que esten cursando ASyS y tambien por que no a los que les interese, les comparto un software (totalmente gratuito) que encontre para poder hacer convolucion de funciones y ...
INGAR Electrónica 2 1.571 02-10-2016, 23:42 por Feer
  Tema: Parciales de fisica 1 resueltos
Mensaje: Parciales de fisica 1 resueltos

Buenas, encontre unos parciales resueltos que tenia de mi cursada, los dejo para que sirvan de guia para alguien. Era una linda epoca en donde sacaba lindas notas... Saludos!
INGAR Electrónica 7 44.539 02-10-2016, 23:42 por Feer
  Tema: Guias resueltas Fsica I
Mensaje: Guias resueltas Fsica I

Buenas noches gente, agrego la guia de ejercicios de Fisica 1 resueltos por mi, el de cinematica del punto material 1 no lo tengo por que me dio error el archivo asi que cuando pueda lo subo. Se que ...
INGAR Electrónica 2 14.673 02-10-2016, 23:42 por Feer
  Tema: Guias resueltas Fsica II
Mensaje: Guias resueltas Fsica II

Buenas madrugadas, les dejo algunas guias resueltas por mi de fisica 2. No tengo las de magnetismo no se por que... si las encuentro las subo. Aprovecho y comparto el libro de fisica Sears - Zemansk...
INGAR Electrónica 7 30.554 02-10-2016, 23:42 por Feer
  Tema: Guias resueltas Fsica Electronica
Mensaje: Guias resueltas Fsica Electronica

Buenas tardes, dejo enunciados y resueltos de los mismos de Fisica electronica, son de la cursada de Nesprias, calculo que resueltos por el por que ya vinieron asi. No estan todos los temas pero hay b...
INGAR Electrónica 1 27.525 02-10-2016, 23:41 por Feer
  Tema: Guia y resueltos ASyS
Mensaje: Guia y resueltos ASyS

Buenas noches gente, tengo algunas guias con los ejercicios resueltos por mi que ire subiendo de a poco: 1 - Dejo la primera 2 - Llego la segunda 3 - Algunos libros que pueden servir de consulta. ...
INGAR Electrónica 0 4.307 30-09-2016, 03:10 por Feer
  Tema: [FISICA II] [APORTE] 1eros parciales Piñera
Mensaje: [FISICA II] [APORTE] 1eros parciales Piñera

Hola, les dejo 2 parciales que el profesor nos dio de ejemplo y el que tomó el 1er cuatrimestre 2016 resuelto
proyectomaru Básicas 1 2.466 29-08-2016, 01:43 por Feer
  Tema: Finales Fisica 1 julio 2016
Mensaje: Finales Fisica 1 julio 2016

les dejo los finales de julio de 2016 de fisica 1 [attachment=13799] [attachment=13800][/quote]
Maxii Básicas 11 5.765 02-08-2016, 14:10 por Feer
  Tema: Finales Algebra julio 2016
Mensaje: Finales Algebra julio 2016

finales de algebra julio 2016
Maxii Básicas 0 1.356 02-08-2016, 14:10 por Feer
  Tema: [Aporte] Resumen Bioinformatica
Mensaje: [Aporte] Resumen Bioinformatica

Hola gente. Les dejo un resumen que hice de Bioinformática ahora que se toma final y se complico un toque. El resumen sirve pero igualmente les recomiendo leer las ppt. En el resumen dice que teman co...
coolerking Sistemas 4 2.844 31-07-2016, 18:36 por Feer
  Tema: [Electronica Aplicada III] 1 Parcial con nota 8.
Mensaje: [Electronica Aplicada III] 1 Parcial con nota 8.

Chicos, les dejo un primer parcial de Maximilano Casais, resuelto con nota 8. Es del curso de Mayo. Saludos.
goncar87 Electrónica 2 3.265 28-07-2016, 21:38 por Feer
  Tema: [APORTE][FÍSICA 2] Final 13-07-2016
Mensaje: [APORTE][FÍSICA 2] Final 13-07-2016

Buenas, ¿cómo andan? Les dejamos adjunto el final de ayer de Física 2. Cualquier duda del final, ya saben que pueden consultarnos. ¡Saludos!, La UES + Independientes [attachment=13598]
La UES Básicas 30 20.096 14-07-2016, 19:06 por Feer
  Tema: [APORTE] Final FISICA II 26/05/2016
Mensaje: [APORTE] Final FISICA II 26/05/2016

Hola chicos! Subo el final que se tomo en la ultima mesa, ya que no lo vi en el foro Saludos!
JuanPadilla Básicas 16 7.484 08-07-2016, 22:52 por Feer
  Tema: [APORTE] Final FISICA II 26/05/2016
Mensaje: RE: [APORTE] Final FISICA II 26/05/2016

Hola! Subo mis resoluciones, aunque no garantizo que estén 100% bien. Tengo mis dudas con el 3b), tengo que revisar de nuevo ese tema. No hice el desarrollo de Balambre y Bespira porque es lo mismo de...
Bian Básicas 16 7.484 08-07-2016, 22:52 por Feer
Bug Tema: [APORTE] Primer parcial informática II 2016
Mensaje: [APORTE] Primer parcial informática II 2016

Dejo el primer parcial resuelto de info 2 que se tomó ayer, del profesor Pini de la FRH. Saludos:wave:
Elena Juárez Electrónica 3 3.836 28-06-2016, 22:35 por Feer
  Tema: [Seguridad Informatica] Resumen completo de las ppt + parcial 2015
Mensaje: [Seguridad Informatica] Resumen completo de las pp...

está hasta resaltado :jiji: y pueden haber algunas palabras mal tipeadas por escribir rapido (?)
Bian Sistemas 6 5.768 18-06-2016, 19:19 por Feer
  Tema: [APORTE] Resumen Legislación 1er Parcial 2016 - Nuevo CCyC
Mensaje: [APORTE] Resumen Legislación 1er Parcial 2016 - Nu...

Buenas! Mi primer aporte de resumen. Se diferencia de los que ya existen en que lo armé en base a la autoevaluación que provee la cátedra (la cual también adjunto por si no sabían de su existencia) y ...
Ivanorr1s Básicas 6 6.009 15-06-2016, 02:17 por Feer
Bug Tema: Ejercicios de ARCHIVOS y ARGUMENTOS DEL MAIN
Mensaje: Ejercicios de ARCHIVOS y ARGUMENTOS DEL MAIN

Hola! Este es mi intento de primer aporte. Son algunos ejercicios resueltos de informática II, estoy cursando con Pini en Haedo. Los hice con el compilador Geany, en Linux. :wave::thumbup3:
Elena Juárez Electrónica 4 2.158 07-06-2016, 01:08 por Feer
  Tema: [Aporte] Resumen Legislación 2do parcial parte 2
Mensaje: [Aporte] Resumen Legislación 2do parcial parte 2

Gente. Subo el archivo del resumen de legislación parte 2, quinta edición del libro. Hay errores porque lo hice con el microfono de google drive, a medida que vayan diciendome los errores lo voy compl...
echoes Básicas 1 1.370 06-06-2016, 18:38 por Feer
Wink Tema: [APORTE] Libro Analisis Matematico 2 - CORREA - GALLO [UTN-FRT]
Mensaje: [APORTE] Libro Analisis Matematico 2 - CORREA - GA...

Hola a todos muchachos, les comento una situacion que sucede en UTN-FRT Resulta que estamos cursando ANALISIS MATEMATICO 2 y como bibliografia oficial usan 4 libros creados por los jefes de catedra y...
Canopix Sistemas 8 12.686 06-06-2016, 14:45 por Feer
  Tema: Final 26/05/16
Mensaje: Final 26/05/16

Muchach@s, hoy rendi Fisica 2, el examen era bastante accesible, pero habia que saber o al menos tener leido bastante bien los temas perifericos como el tema de la resistencia termica, maquina de carn...
INGAR Electrónica 3 2.143 27-05-2016, 00:43 por Feer
  Tema: Links piolas de YouTube
Mensaje: Links piolas de YouTube

Buenas muchachos!! Como se bien que la programación en C es muy cuesta arriba para los que nunca tuvieron una base anterior (como quien les habla, je!!), les recomiendo fuertemente los siguientes can...
diegomsaiz Electrónica 1 1.720 25-04-2016, 00:31 por Feer
  Tema: Parciales I y II resueltos
Mensaje: Parciales I y II resueltos

[attachment=13149][attachment=13150] Holanda gente!! Les adjunto los dos parciales resueltos de Info 1. Son de la cátedra del Profe Bonelli, recomiendo que cursen con él. Espero que les guste y les ...
diegomsaiz Electrónica 0 1.982 24-04-2016, 20:28 por Feer
  Tema: [Aporte] Recuperatorio 2do parcial Febrero
Mensaje: [Aporte] Recuperatorio 2do parcial Febrero

Este es el recuperatorio que se tomó ayer, 20/02/2016, en Info 1. Como sockets es un tema que muchos de los profesores deciden evaluar en los trabajos prácticos y no en el segundo parcial, se tuvo q...
guidogiovana Electrónica 0 1.384 20-02-2016, 15:48 por Feer
  Tema: Final Legislacion 11/02/16
Mensaje: Final Legislacion 11/02/16

Por si a alguien le sirve adjunto el final tomado la ultima fecha. Saludos
gorelover Básicas 0 3.843 14-02-2016, 22:08 por Feer
  Tema: Final Máquinas e Instalaciones Eléctricas 12/02/2016
Mensaje: Final Máquinas e Instalaciones Eléctricas 12/02/20...

Buenas, no se podía fotocopiar el final así que escribo lo que tomaron antes de que me olvide: 1) Dibujar el diagrama de Kapp para un transformador monofásico y el circuito a partir del que se constr...
pablo.m Electrónica 0 1.328 13-02-2016, 19:25 por Feer
  Tema: [OFERTA]
Mensaje: RE: [OFERTA]

Podes sacar un par de ideas de aca: [video=youtube]https://www.youtube.com/watch?v=aW0MtMbEZbU[/video]
Agro Básicas 24 6.695 13-02-2016, 01:14 por Feer
  Tema: [aporte] [FRBA] Finales de TD1 - 2013/4/15
Mensaje: [aporte] [FRBA] Finales de TD1 - 2013/4/15

Les dejo 3 zip con finales de Técnicas Digitales 1 del año 2013, 2014 y 2015 que recopilé de distintos lados para preparar el final en diciembre. Si quieren unificar más finales resueltos o no en est...
bicho Electrónica 0 1.208 05-02-2016, 21:33 por Feer
  Tema: [PDF]Tabla de derivadas e integrales NUEVO librería.
Mensaje: [PDF]Tabla de derivadas e integrales NUEVO librerí...

Hola chicos! Ví que la tabla de Derivadas e integrales del CEIT es muy buena pero no tiene INDICE Así que tomé el tiempo de escanear la tabla que se compra en la librería por si alguien no tiene el di...
Nathee Básicas 3 23.401 03-01-2016, 23:05 por Feer
  Tema: Final ASyS 22-12-15
Mensaje: Final ASyS 22-12-15

Buenas, subo el final de ASyS tomado el 22-12-15 y el punto 3 resuelto, pronto voy a subir la resolución de los puntos 2 y 4. el punto 1 no estoy seguro de como resolverlo, así que seria genial si alg...
rodrigo_103 Electrónica 0 1.567 03-01-2016, 22:34 por Feer
  Tema: [APORTE][Seguridad, higiene y medio ambiente] Final 22-12-2015
Mensaje: [APORTE][Seguridad, higiene y medio ambiente] Fina...

Buenas noches UTNianos , esta noche les traigo las preguntas del final del día de ayer. No pude sacarle una foto pero recuerdo las preguntas y la condición para aprobar. Les cuento que había 2 temas...
xana Electrónica 0 2.129 24-12-2015, 01:46 por Feer