Seguimos buscando a Arshak. Ayudanos compartiendo!
Encuesta no oficial de docentes
Resultados de la encuesta no oficial de docentes
Probaste el SIGA Helper?

Donar $100 Donar $200 Donar $500 Donar mensualmente


Resultados de la búsqueda
Mensaje Autor Foro Respuestas Vistas Agradecido [asc]
Star Tema: FRBA ► Economía ► Fórmulas varias (para rendir el final)
Mensaje: FRBA ► Economía ► Fórmulas varias (para rendir el ...

Hola a todos y a todas. En la cursada recopilé una buena cantidad de fórmulas de estos temas: · Factores de la Producción. · Renta Nacional. · Costos. · Contabilidad. · Proyectos de Inversión. Esper...
pablit Básicas 11 13.969 01-06-2017, 21:20 por matyary
  Tema: [Aporte] Primer parcial de Economía resuelto
Mensaje: [Aporte] Primer parcial de Economía resuelto

Para practicar para el parcial que tengo esta semana me puse a hacer uno que nos dio el profesor (Costucica) la clase pasada. Como vi que no había muchos parciales resueltos de Economía por acá, se m...
Nekosuki Sistemas 6 7.778 10-05-2017, 08:47 por matyary
  Tema: [Aporte] [Legislación] [Resumen] Preguntas y Respuestas de AutoEvaluacion
Mensaje: [Aporte] [Legislación] [Resumen] Preguntas y Respu...

Como dice el titulo, les subo las preguntas y respuestas de autoevaluacion de la cátedra. Las preguntas son "oficiales de la catedra" pero creo que nadie las respondió asi que acá estan resueltas po...
naitsrich Básicas 6 10.634 27-03-2017, 18:50 por matyary
  Tema: APORTE PARA PROMOCIONAR LEGISLACION
Mensaje: APORTE PARA PROMOCIONAR LEGISLACION

resumen de cada capítulo de Legislación. Yo estudié de esos resúmenes y la promocioné. Hay primeros y segundos parciales, todos de Maglio.
Eugee Básicas 7 4.654 26-03-2017, 10:38 por matyary
  Tema: Final de Máquinas e Instalaciones Eléctricas 30/07/2015
Mensaje: Final de Máquinas e Instalaciones Eléctricas 30/07...

Fue más o menos así: 1) a) Para un autotransformador reductor, indicar el volumen necesario comparado con el transformador común b) Cuándo conviene usar autotransformador? Con qué relación de transfo...
ASM Electrónica 0 1.501 08-08-2015, 09:42 por matyary
  Tema: Final de Medios de Enlace 03/12/14
Mensaje: RE: Final de Medios de Enlace 03/12/14

Creo que estamos diciendo lo mismo maty. Sino aclarame porque me parece que me estoy confundiendo. En el final te daban las coordenadas de los mínimos de tensión respecto de la carga. La diferencia en...
John_Doe* Electrónica 20 6.528 08-12-2014, 17:38 por matyary
  Tema: [Aporte]Final Medios 02/10/14
Mensaje: [Aporte]Final Medios 02/10/14

Final de Medios que tomaron ayer
target Electrónica 1 1.511 04-10-2014, 14:21 por matyary
  Tema: [Medios de enlace] 2 parcial de Dwonirzak 2012 Resuelto.
Mensaje: [Medios de enlace] 2 parcial de Dwonirzak 2012 Res...

Chicos, subo el segundo parcial de Medios de enlace del curso Dwornizak, del curso 2012. Esta resuelto por el ayudante Sinardi. Espero que les sirva.
goncar87 Electrónica 0 2.280 05-09-2014, 16:06 por matyary
  Tema: Stub simple y doble (lo entendés sí o sí)
Mensaje: Stub simple y doble (lo entendés sí o sí)

Hola chicos, acá les adjunto un .ppt muy piola sobre adaptación de líneas con stub simple y doble. Está muy bien explicado!! Para aquellos que no llegaron a comprender del todo este tema, como quien l...
diegomsaiz Electrónica 6 4.463 05-09-2014, 16:03 por matyary
  Tema: dispositivos electronicos-parciales-suazquita
Mensaje: dispositivos electronicos-parciales-suazquita

muchachos aca tengo unos parciales y tps para compartir! de dispositivos electronicos, del profesor suazquita.
mario88 Electrónica 11 10.235 17-05-2014, 15:45 por matyary
  Tema: Teoria de Circuitos I - Parciales, TPs
Mensaje: Teoria de Circuitos I - Parciales, TPs

Hola que tal, tengo varias cosas de la cursada de TCI con Franco Pessana en el 2012 y las quería compartir. Es el primer post que hago, espero que salga como corresponde. Agradezco a Carlos Cali que s...
luchonqn Electrónica 12 15.209 06-04-2014, 12:04 por matyary
  Tema: [Pueyo-Marco] Analisis de Modelos Circuitales (Tomos I y II)
Mensaje: [Pueyo-Marco] Analisis de Modelos Circuitales (Tom...

Les dejo este libro bastante recomendado para algunas partes de TC-I. No es dificil de conseguir, pero siempre viene bien tenerlo en el foro :P Saludos! [img=600x450]http://img534.imageshack.us/img5...
JulianD Electrónica 11 24.477 05-04-2014, 10:45 por matyary
  Tema: Primer Cuatrimestre 2014.
Mensaje: RE: Primer Cuatrimestre 2014.

Ahí te lo mando. Nos rompieron el culo.
Feer Electrónica 45 9.050 12-02-2014, 19:34 por matyary
  Tema: Primer Cuatrimestre 2014.
Mensaje: RE: Primer Cuatrimestre 2014.

Los apuntes de dasso estén en internet, son "las clases de dasso" son BUENISIMAS. Lo busco y lo subo pero deben estar acá seguro.. Si no los encontras avisa, te regalaría los míos pero no di el final....
Feer Electrónica 45 9.050 02-02-2014, 20:33 por matyary
  Tema: como se toma el final de fisica 2?
Mensaje: RE: como se toma el final de fisica 2?

(27-01-2014 15:07)matyary escribió:  Disculpá, tendrás el final o al menos podés contarme detalladamente que tomaron? Muchas gracias! :thumbup3: No tengo el final, de lo que me acuerdo: 1) ejer...
GastonSosto Básicas 15 6.270 30-01-2014, 14:27 por matyary
  Tema: Duda ejercicio de final (óptica)
Mensaje: RE: Duda ejercicio de final (óptica)

La profe schenoni me dijo que la forma en que yo lo hice esta perfecto, así : [attachment=7835] C-a-r-o
CarooLina Sistemas 38 7.096 10-12-2013, 22:35 por matyary
  Tema: Final 03/12/2013
Mensaje: RE: Final 03/12/2013

Todo eso ya lo probe.. Cuando pongo insertar imagen me aparece un cuadro que dice: Por favor introduce la URL remota de la imagen que deseas insertar.. Y no se que hacer ! [img]http://img36.imagesha...
ivanburrone Básicas 11 3.860 08-12-2013, 10:06 por matyary
  Tema: Recomendacion Profesores 5to Año
Mensaje: Recomendacion Profesores 5to Año

Bueno, que tal gente, aprovecho a escribir el resumen del año. Este año hice TCII que me quedo de 4to + Potencia, ME2, TD3 y Tecnologia. Voy a cada una de ellas: TCII - Carlos Navarro (P) y Nahuel G...
marian99 Electrónica 3 3.500 01-12-2013, 15:49 por matyary
  Tema: [APORTE - Fisica 2] Resueltos Kaseros Ley de Ampere y Ley de Biot-Savart
Mensaje: [APORTE - Fisica 2] Resueltos Kaseros Ley de Amper...

Gente, les comparto las guias resueltas por Anibal Kaseros de los ejercicios de Ley de Ampere y de Ley de Biot-Savart. Estas guías no suelen conseguirse (las busqué en este foro y no estaban), así que...
Bebop Básicas 7 15.483 23-02-2013, 11:57 por matyary
  Tema: [APORTE] 2º Parcial [TDI]
Mensaje: RE: [APORTE] 2º Parcial [TDI]

--vhdl de maquina de estados conversion natural->complementoa2 library ieee; use ieee.std_logic_1164.all; -- entity maqestados is port (y: in std_logic; z: out std_logic); end maqestados; -- a...
brunodiaz Electrónica 3 2.174 22-12-2012, 15:21 por matyary
  Tema: [Física II] Apunte teórico - Optica ondulatoria
Mensaje: [Física II] Apunte teórico - Optica ondulatoria

Bueno dado que muchos profesores no llegan a dar este tema y se pone re duro leer tanta teoría al pedo, me hice un apunte teórico con lo importante y bien escrito de lo que aparece en la guía de ejerc...
Feer Básicas 7 9.991 15-12-2012, 16:47 por matyary
  Tema: [Informática II][Aporte] 2do. Parcial [C++]
Mensaje: RE: [Informática II][Aporte] 2do. Parcial [C++]

Ejercicio 1 Void VEHICULO::Set_Nombre (char *nuevo_nombre){ char *Nombre = NULL; if(nuevo_nombre != NULL){ Nombre = new char[strlen(nuevo_nombre&...
Feer Electrónica 9 4.590 05-12-2012, 00:31 por matyary
  Tema: [Física II][Guía resuelta] Corriente alterna
Mensaje: [Física II][Guía resuelta] Corriente alterna

Dejo la guía resuelta de alterna que la pedían en otro tema.. Saludos.
Feer Básicas 25 30.383 05-12-2012, 00:25 por matyary
  Tema: [Info II][ Finales Máquinas de estados]
Mensaje: [Info II][ Finales Máquinas de estados]

Hola, dejo mi resolución de máquina de estados del de diciembre del 2009, voy a intentar subir 4 o 5 en este mismo tema así quedan como aporte y de paso me corrigen si hay errores^^ Bueno acá dejo el...
Feer Electrónica 0 1.651 29-11-2012, 13:01 por matyary
  Tema: [Informatica II] Finales del 2009-2010-2011
Mensaje: [Informatica II] Finales del 2009-2010-2011

Chicos, en la cátedra de Info2 del Campus Virtual, se publican todos los finales . Algunos están resueltos, otros no. Hay finales desde el 2009 hasta el 15-02-2012. Los subo aca también por si algún ...
goncar87 Electrónica 1 2.125 29-11-2012, 13:01 por matyary
  Tema: Firma de libretas, Corti (2012-2013)
Mensaje: RE: Firma de libretas, Corti (2012-2013)

Preguntale a el en las clases de tutoria que da en Campus, o quiza calculo que seran las mismas que para los cursos comunes. Por las dudas, te lo dejo a mano: Cita:SISTEMAS DE REPRESENTACION ...
rob. Básicas 6 2.336 11-11-2012, 21:57 por matyary
  Tema: [RESUMEN] Física II - COMPLETO
Mensaje: [RESUMEN] Física II - COMPLETO

Bueno, de apoco se van terminando los resumenes de las homogéneas. En esta oportunidad el apunte completo de física II :P Un saludo. [attachment=4782]
Feer Básicas 18 43.952 04-11-2012, 21:42 por matyary
  Tema: Preguntonta (?)
Mensaje: RE: Preguntonta (?)

Fijate el libro "Variable compleja" de Murray Spiegel. Cita:Capítulo 1: Números Complejos Capítulo 2: Funciones Límites y Continuidad Capítulo 3: Diferenciación Compleja y Las Ecuaciones de Cauchy ...
JulianD Electrónica 10 3.343 04-11-2012, 12:46 por matyary
  Tema: [Aporte] [Física II] 1° parcial
Mensaje: [Aporte] [Física II] 1° parcial

Gente, les dejo los dos temas del primer parcial de este cuatrimestre de un curso de Zuccalá. Saludos!
Julita Básicas 4 5.238 27-10-2012, 10:19 por matyary
  Tema: CURSO DE VERANO [FISICA II]
Mensaje: RE: CURSO DE VERANO [FISICA II]

Para quienes aun duden con meter la materia... la preinscripción es para ver cuántos alumnos serían mas o menos, a ver si se considera abrir el curso, eso no va a hacer que los den de baja de los curs...
Julita Básicas 36 11.036 25-10-2012, 21:59 por matyary
  Tema: [Física II] Final 1/08/12
Mensaje: [Física II] Final 1/08/12

Les dejo lo que tomaron.
Feer Básicas 6 3.268 14-10-2012, 22:12 por matyary
  Tema: [Parcial][RESUELTO][Informática II]
Mensaje: [Parcial][RESUELTO][Informática II]

Hola les dejo el enunciado que tomaron en ese curso y una posible resolución, saludos. Consigna: Se pide desarrollar el equipo de control para un lavarropas, una vez seleccionado el tiempo de funci...
Feer Electrónica 6 3.362 14-10-2012, 22:12 por matyary
  Tema: [Lista según necesidades] Integrados básicos
Mensaje: [Lista según necesidades] Integrados básicos

Bueno les dejo dos archivos, yo los tengo por acá porque a veces me pasa que estoy aburrido abro para diseñar algún circuito y pienso que debería usar pero no se el código... Acá les dejo 2 word con l...
Feer Electrónica 2 1.722 14-10-2012, 22:10 por matyary
  Tema: [Duda] Ejercicio de Señales
Mensaje: RE: [Duda] Ejercicio de Señales

podes usar la siguiente identidad trigonometrica: \[sen(x) sen(y) = \frac{cos(x-y)-cos(x+y)}{2}\] en este caso te queda: \[2 sen(2k/4) sen(k/4) = \frac{cos(k/4)-cos(3k/4)}{2}\] Es periodica, porq...
yaoming Electrónica 6 2.104 27-09-2012, 21:20 por matyary
  Tema: [Teoria de circuitos I] 1 PARCIAL
Mensaje: [Teoria de circuitos I] 1 PARCIAL

Chicos, encontre entre mis cosas un primer parcial de teoria de circuitos I del 2010. espero que les sirva. Enviado desde mi MB526 usando Tapatalk
goncar87 Electrónica 3 2.920 02-09-2012, 20:49 por matyary
  Tema: [APUNTE] Análisis Matemático II - COMPLETO
Mensaje: [APUNTE] Análisis Matemático II - COMPLETO

APUNTE COMPLETO Bueno para que sepan el resumen fue corregido pero puede sufrir modificaciones en futuras versiones, comenten si encuentran algún error o problemas de nomenclaturas. Mucha suerte y c...
Feer Básicas 119 127.621 28-08-2012, 23:58 por matyary
  Tema: Organizar foro Electronica
Mensaje: RE: Organizar foro Electronica

Si, ahora vamos moviendo threads :)
Aye Ideas y sugerencias 24 4.966 26-08-2012, 12:44 por matyary
Lightbulb Tema: [APORTE] Apuntes-Varias materias
Mensaje: [APORTE] Apuntes-Varias materias

Buenas, les dejo unos apuntes que me pasaron de la FIUBA y otras yerbas sobre varias materias, en general de electronica (el otro dia les pregunte y feer me dijo que les servia). Tiene varios manuales...
Brich Electrónica 6 3.915 23-08-2012, 10:23 por matyary
  Tema: [RESUMEN] Probabilidad y estadística - COMPLETO
Mensaje: [RESUMEN] Probabilidad y estadística - COMPLETO

Bueno dejo el resumen de la materia entero. Para hacerlo me base en el libro de probabilidad y estadística para la ciencia y tecnología del autor Walpole. Tiene el mismo formato que el de física I. ...
Feer Básicas 34 66.323 11-08-2012, 23:30 por matyary
  Tema: [APORTE][Probabilidad y Estadística] Final 26/07/2012 RESUELTO
Mensaje: RE: [APORTE] Final 26/07/2012 [Probabilidad y Esta...

Yo aprobee !! 8 ;) Respuestas: 1 a)5 (Gracias yaoming) b) e^-2 2 a) 22 envases b) 1.99 +/- 0.0155 (casi segura del error!) 3 a) H0: u=20, H1: u<20 Me habia dado -1.049<-1.645 Se recha...
CarooLina Básicas 28 16.490 30-07-2012, 21:56 por matyary
  Tema: [APORTE][Probabilidad y Estadística] Final 26/07/2012 RESUELTO
Mensaje: RE: [APORTE] Final 26/07/2012 [Probabilidad y Esta...

aca ta mi final, se ve re mal, mi cel no tiene ni 1mpx xD Spoiler: Mostrar[attachment=3750] [attachment=3751] [attachment=3752] [attachment=3753] [attachment=3754] [attachment=3755]
yaoming Básicas 28 16.490 30-07-2012, 21:56 por matyary
  Tema: [Ayuda] Informática II [Urgente]
Mensaje: RE: [Ayuda] Informática II [Urgente]

si no imterprete mal, te referias a esto. no se si esta bien, fijate :) #include <c8051F020.h> void main (void) { init(); while(1); } void init (vo...
yaoming Electrónica 6 2.217 27-07-2012, 22:54 por matyary
  Tema: [Ayuda] Informática II [Urgente]
Mensaje: RE: [Ayuda] Informática II [Urgente]

Como repiten los tps estos profes! no tienen ganas de hacer nada nuevo.... Te oriento y si no te sale te paso la respuesta: 1) Declara los sbits del puerto 0 y 1 (Sbit P10 = P1^0.......Sbit P00 = P0...
El Pity Electrónica 6 2.217 27-07-2012, 21:49 por matyary
  Tema: [Fisica II] [Resolviendo] Segundo parcial Pautasso
Mensaje: [Fisica II] [Resolviendo] Segundo parcial Pautasso

Estoy empezando a resolver uno de los parciales de ejemplo, si da tiempo subo todo cuando lo termine, el que quiera puede ayudar :P, mañana sigo A1 Dos espiras circulares se hallan en dos planos perpe...
Anirus Básicas 10 7.968 10-07-2012, 08:56 por matyary
  Tema: [Medios de enlace] Carpeta completa de Dwornizak
Mensaje: [Medios de enlace] Carpeta completa de Dwornizak

Chicos, les dejo la carpeta completa de dwornizak. Aviso que no es mia. Esta completa y tiene ejercicios resueltos. http://www.mediafire.com/view/?f1hmfoq59apza1e Espero que les sirva. Saludos
goncar87 Electrónica 14 9.539 07-07-2012, 14:50 por matyary
  Tema: Análisis de Señales y Sistemas parciales
Mensaje: Análisis de Señales y Sistemas parciales

resueltos del del primer parcial. no hay casi nada de esta materia en fotocopiadora, las subo para tengan una idea.
mario88 Electrónica 19 13.356 20-06-2012, 17:11 por matyary
  Tema: fisica electronica guia resuelta
Mensaje: fisica electronica guia resuelta

muchachos aca tienen la guia resuelta!!! espero que les ayude!!
mario88 Electrónica 11 9.125 20-06-2012, 12:19 por matyary
  Tema: teoria de circuitos I guia resuelta y parciales
Mensaje: teoria de circuitos I guia resuelta y parciales

hola gente! aca tengo un par de archivos para compartir!!! 1) modelos de parciales 2) Guia resuelta 3) TP de laboratorio resuelto sepan agradecer...jejejeje che si alguien tiene finales de a...
mario88 Electrónica 10 11.606 20-06-2012, 12:14 por matyary
  Tema: [Informatica II] Explicacion de la funcion Antirebote
Mensaje: [Informatica II] Explicacion de la funcion Antireb...

Hola gente como andan? Fer me pidió que le explique la función de anti-rebote. Hay miles funciones distintas de antirebote. Pero esta es la funcion mas sensilla para mi. Lo dio el año pasado Fresno. N...
goncar87 Electrónica 4 3.074 13-06-2012, 19:59 por matyary
  Tema: Tutorial para el uso del Keil Microvision.
Mensaje: RE: Tutorial para el uso del Keil Microvision.

Lesto :)
nanuiit Electrónica 14 3.853 03-06-2012, 21:31 por matyary